Method of reworking structures incorporating low-k dielectric materials

Methods of etching a semiconductor structure using ion milling with a variable-position endpoint detector to unlayer multiple interconnect layers, including low-k dielectric films. The ion milling process is controlled for each material type to maintain a planar surface with minimal damage to the ex...

Full description

Saved in:
Bibliographic Details
Main Authors Kane, Terence Lawrence, Eng, Chung-Ping, Engel, Brett H, Ginsberg, Barry Jack, Macpherson, Dermott A, Petrus, John Charles
Format Patent
LanguageEnglish
Published 07.03.2006
Online AccessGet full text

Cover

Loading…
More Information
Summary:Methods of etching a semiconductor structure using ion milling with a variable-position endpoint detector to unlayer multiple interconnect layers, including low-k dielectric films. The ion milling process is controlled for each material type to maintain a planar surface with minimal damage to the exposed materials. In so doing, an ion beam mills a first layer and detects an endpoint thereof using an optical detector positioned within the ion beam adjacent the first layer to expose a second layer of low-k dielectric film. Once the low-k dielectric film is exposed, a portion of the low-k dielectric film may be removed to provide spaces therein, which are backfilled with a material and polished to remove the backfill material and a layer of the multiple interconnect metal layers. Still further, the exposed low-k dielectric film may then be removed, and the exposed metal vias polished.