Method and circuit for via pillar optimization

In examples described herein, methods for via pillar placement and an integrated circuit design including a via pillar are described. In some instances, a path within an integrated circuit or proposed integrated circuit design can be identified as having negative slack. In such instances, in particu...

Full description

Saved in:
Bibliographic Details
Main Authors Chen, Wen-Hao, Wang, Shao-Huan, Yu, Ming-Tao, Ou, Hung-Chih, Ku, Chun-Yao
Format Patent
LanguageEnglish
Published 22.05.2018
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:In examples described herein, methods for via pillar placement and an integrated circuit design including a via pillar are described. In some instances, a path within an integrated circuit or proposed integrated circuit design can be identified as having negative slack. In such instances, in particular where the path includes a fanout to input pins of receivers, a via pillar can be inserted at a location prior to fanout of the path. The via pillar can be inserted, for example, proximate to the fanout, but between the fanout and an output pin of a driver that is connected to the path.
Bibliography:Application Number: US201715600410