Ambient controlled two-step thermal treatment for spin-on coating layer planarization

To reduce a thickness variation of a spin-on coating (SOC) layer that is applied over a plurality of first and second trenches with different pattern densities as a bottom layer in a photoresist stack, a two-step thermal treatment process is performed on the SOC layer. A first thermal treatment step...

Full description

Saved in:
Bibliographic Details
Main Authors Chen, Ya-Lun, Huang, Tsai-Yu, Tsai, Chen-Fong, Cheng, Yahru, Yeo, Yee-Chia, Chang, Huicheng
Format Patent
LanguageEnglish
Published 13.02.2024
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:To reduce a thickness variation of a spin-on coating (SOC) layer that is applied over a plurality of first and second trenches with different pattern densities as a bottom layer in a photoresist stack, a two-step thermal treatment process is performed on the SOC layer. A first thermal treatment step in the two-step thermal treatment process is conducted at a first temperature below a cross-linking temperature of the SOC layer to cause flow of the SOC layer, and a second thermal treatment step in the two-step thermal treatment process is conducted at a second temperature to cause cross-linking of the SOC layer.
Bibliography:Application Number: US202016951955