SRAF MRC Curvilinear SRAFSub-Resolution Assist Feature generating method MRCMask Rule Check verification method for curvilinear SRAF and mask manufacturing method comprising the generating method

The present invention provides a method for generating a curvilinear sub-resolution assist feature (SRAF), which can generate a curvilinear SRAF that satisfies MRC conditions, a method for MRC verification, which can easily verify MRC for a curvilinear SRAF, and a mask manufacturing method including...

Full description

Saved in:
Bibliographic Details
Main Authors KIM U SEONG, CHO WOO YONG, LEE HEE JUN
Format Patent
LanguageEnglish
Korean
Published 15.06.2023
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:The present invention provides a method for generating a curvilinear sub-resolution assist feature (SRAF), which can generate a curvilinear SRAF that satisfies MRC conditions, a method for MRC verification, which can easily verify MRC for a curvilinear SRAF, and a mask manufacturing method including the generation method. The method for generating a curvilinear SRAF comprises the steps of: generating a curve axis for the generation of a curvilinear SRAF in response to main features; generating curve points on the line of the curve axis; and generating the curve SRAF based on the curve points. 본 발명의 기술적 사상은 MRC 조건을 만족하는 곡선 SRAF를 용이하게 생성할 수 있는 곡선 SRAF의 생성 방법, 곡선 SRAF에 대한 MRC 검증이 용이한 MRC 검증 방법, 및 그 생성 방법을 포함한 마스크 제조방법을 제공한다. 그 곡선 SRAF의 생성 방법은 메인 피쳐(main feature)에 대응하여 곡선(curvilinear) SRAF(Sub-Resolution Assist Feature)의 생성을 위한 커브 축(curve axis)을 생성하는 단계; 상기 커브 축의 라인 상에 커브 포인트들(curve points)을 생성하는 단계; 및 상기 커브 포인트들에 기초하여 곡선 SRAF를 생성하는 단계;를 포함한다.
Bibliography:Application Number: KR20210175210