소스 오퍼랜드 값들의 예측, 및 명령들의 최적화 처리의 장치 및 방법

명령들을 처리하는 장치 및 방법이 제공되어 있다. 이 장치는, 결과값을 발생하기 위해서 하나 이상의 소스 오퍼랜드 값들을 사용하여 수행되는 관련 연산을 각기 필요로 하는 명령들을 실행하는 실행 회로소자를 갖는다. 발행 회로소자는 상기 실행 회로소자에 의한 실행을 대기하는 진행중 명령들의 레코드를 유지관리하는 데 사용되고, 예측 회로소자는 선택된 진행중 명령에 대한 예측 소스 오퍼랜드 값을 발생하는 데 사용된다. 최적화 회로소자는, 상기 선택된 진행중 명령에 대한 상기 관련 연산을 고려하여, 상기 예측 소스 오퍼랜드 값이, 상기 관련...

Full description

Saved in:
Bibliographic Details
Main Authors TONNERRE ALBIN PIERRICK, PIRY FREDERIC CLAUDE MARIE, GREENHALGH PETER RICHARD, CAULFIELD IAN MICHAEL
Format Patent
LanguageKorean
Published 24.05.2021
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:명령들을 처리하는 장치 및 방법이 제공되어 있다. 이 장치는, 결과값을 발생하기 위해서 하나 이상의 소스 오퍼랜드 값들을 사용하여 수행되는 관련 연산을 각기 필요로 하는 명령들을 실행하는 실행 회로소자를 갖는다. 발행 회로소자는 상기 실행 회로소자에 의한 실행을 대기하는 진행중 명령들의 레코드를 유지관리하는 데 사용되고, 예측 회로소자는 선택된 진행중 명령에 대한 예측 소스 오퍼랜드 값을 발생하는 데 사용된다. 최적화 회로소자는, 상기 선택된 진행중 명령에 대한 상기 관련 연산을 고려하여, 상기 예측 소스 오퍼랜드 값이, 상기 관련 연산을 수행하지 않고 상기 결과값을 알리는 값일 때, 상기 선택된 진행중 명령에 대한 최적화 조건을 검출하도록 배치된다. 상기 최적화 조건의 검출에 응답하여, 상기 실행 회로소자가 상기 선택된 진행중 명령을 실행하기 위해서 상기 관련 연산을 수행하게 하는 대신에 최적화 연산이 구현된다. An apparatus and method are provided for processing instructions. The apparatus has execution circuitry for executing instructions, where each instruction requires an associated operation to be performed using one or more source operand values in order to produce a result value. Issue circuitry is used to maintain a record of pending instructions awaiting execution by the execution circuitry, and prediction circuitry is used to produce a predicted source operand value for a chosen pending instruction. Optimisation circuitry is then arranged to detect an optimisation condition for the chosen pending instruction when the predicted source operand value is such that, having regard to the associated operation for the chosen pending instruction, the result value is known without performing the associated operation. In response to detection of the optimisation condition, an optimisation operation is implemented instead of causing the execution circuitry to perform the associated operation in order to execute the chosen pending instruction. This can lead to significant performance and/or power consumption improvements.
Bibliography:Application Number: KR20217003978