STATIC VOLTAGE DROP SIR VIOLATION PREDICTION SYSTEMS AND METHODS

Provided are systems and methods of predicting violations of static voltage (SIR) drop in a clock-tree synthesis (CTS) layout before a routing is conducted for the CTS layout. The systems of predicting the violations of the SIR drop comprise an SIR drop violation prediction circuit unit. The SIR dro...

Full description

Saved in:
Bibliographic Details
Main Authors CHUANG YI LIN, LIN HENRY, HUANG SZU JU, CHEN YIN AN, HONG SHIH FENG
Format Patent
LanguageEnglish
Korean
Published 08.04.2020
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:Provided are systems and methods of predicting violations of static voltage (SIR) drop in a clock-tree synthesis (CTS) layout before a routing is conducted for the CTS layout. The systems of predicting the violations of the SIR drop comprise an SIR drop violation prediction circuit unit. The SIR drop violation prediction circuit unit receives CTS data related to the CTS layout. The SIR drop violation prediction circuit unit inspects the CTS layout data related to the CTS layout, and the CTS layout data may include data related to a plurality of areas of the CTS layout, which can be inspected based on each area. The SIR drop violation prediction circuit unit predicts whether there are one or more SIR drop violations in the CTS layout because of a subsequent routing of the CTS layout. CTS 레이아웃에 대해 라우팅이 수행되기 전에 클록-트리 합성(clock-tree synthesis; CTS) 레이아웃에서의 정전압(SIR) 강하 위반들을 예측하기 위한 시스템들 및 방법들이 제공된다. 정전압(SIR) 강하 위반 예측 시스템은 SIR 강하 위반 예측 회로부를 포함한다. SIR 강하 위반 예측 회로부는 CTS 레이아웃과 연관된 CTS 데이터를 수신한다. SIR 강하 위반 예측 회로부는 CTS 레이아웃과 연관된 CTS 레이아웃 데이터를 검사하고, CTS 레이아웃 데이터는 CTS 레이아웃의 복수의 영역들과 연관된 데이터를 포함할 수 있으며, 이는 영역별 기반으로 검사될 수 있다. SIR 강하 위반 예측 회로부는 CTS 레이아웃의 후속 라우팅으로 인해 하나 이상의 SIR 강하 위반들이 CTS 레이아웃에 존재할지를 예측한다.
Bibliography:Application Number: KR20190091306