SYSTEMS AND METHODS FOR CIRCUIT DESIGN DEPENDENT PROGRAMMABLE MAXIMUM JUNCTION TEMPERATURES

Systems and methods are provided for generating a circuit design for an integrated circuit using a circuit design tool. The circuit design tool determines maximum junction temperatures for circuit blocks in the circuit design for the integrated circuit. The circuit design tool determines defects val...

Full description

Saved in:
Bibliographic Details
Main Authors GUTALA, Ravi, IYER, Mahesh, MAHADEV, Vadali, MONGIA, Rajiv, SRINIVASAN, Archanna, CHANDA, Kaushik, TIWANA, Gurvinder
Format Patent
LanguageEnglish
French
German
Published 22.03.2023
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:Systems and methods are provided for generating a circuit design for an integrated circuit using a circuit design tool. The circuit design tool determines maximum junction temperatures for circuit blocks in the circuit design for the integrated circuit. The circuit design tool determines defects values for the circuit blocks using the maximum junction temperatures for the circuit blocks. The circuit design tool determines a defects value for the circuit design based on the defects values for the circuit blocks. The circuit design tool determines a maximum junction temperature for the circuit design based on a comparison between the defects value for the circuit design and a target defects value for the circuit design. The circuit design tool can dynamically reconfigure configurable logic circuit blocks to improve the power, the performance, and the thermal profile to achieve an optimal junction temperature per circuit block.
Bibliography:Application Number: EP20220181837