Device scaling and performance improvement: Advances in ion implantation and annealing technologies as enabling drivers

The complexity of ion implant applications in IC fabrication has grown significantly since becoming the preferred process for doping semiconductors. Aggressive device scaling over the last decade raised unique challenges. This resulted in the invention of novel implant applications to address device...

Full description

Saved in:
Bibliographic Details
Published in2012 12th International Workshop on Junction Technology pp. 12 - 17
Main Author Erokhin, Y.
Format Conference Proceeding
LanguageEnglish
Published IEEE 01.05.2012
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:The complexity of ion implant applications in IC fabrication has grown significantly since becoming the preferred process for doping semiconductors. Aggressive device scaling over the last decade raised unique challenges. This resulted in the invention of novel implant applications to address device scaling driven issues and the development of new generations of ion implanters. These newly developed tools are capable of delivering a wide variety of ion beams of traditional doping and non-doping species, with manufacturing worthy beam currents over an energy range extending from 200 eV to several MeV. They are capable of controlling implanted wafer temperature down to cryogenic conditions to take full advantage of new defect engineering approaches. All these innovations resulted in significant growth of ion implantation steps in advanced IC manufacturing for both doping and Precision Materials Modification (PMM). In this paper we present an overview of recent advances in ion implantation technologies and applications addressing sub-20nm device and process integration challenges. We illustrate how these innovations enable improvement of device performance and expansion of process margins through novel capabilities of ion implantation tools coupled with innovative materials engineering approaches for junction formation and for process modules beyond of traditional doping applications.
ISBN:1467312584
9781467312585
DOI:10.1109/IWJT.2012.6212801