Agile SoC Development with Open ESP : Invited Paper

ESP is an open-source research platform for heterogeneous SoC design. The platform combines a modular tile-based architecture with a variety of application-oriented flows for the design and optimization of accelerators. The ESP architecture is highly scalable and strikes a balance between regularity...

Full description

Saved in:
Bibliographic Details
Published in2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD) pp. 1 - 9
Main Authors Mantovani, Paolo, Giri, Davide, Di Guglielmo, Giuseppe, Piccolboni, Luca, Zuckerman, Joseph, Cota, Emilio G., Petracca, Michele, Pilato, Christian, Carloni, Luca P.
Format Conference Proceeding
LanguageEnglish
Published Association on Computer Machinery 02.11.2020
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:ESP is an open-source research platform for heterogeneous SoC design. The platform combines a modular tile-based architecture with a variety of application-oriented flows for the design and optimization of accelerators. The ESP architecture is highly scalable and strikes a balance between regularity and specialization. The companion methodology raises the level of abstraction to system-level design and enables an automated flow from software and hardware development to full-system prototyping on FPGA. For application developers, ESP offers domain-specific automated solutions to synthesize new accelerators for their software and to map complex workloads onto the SoC architecture. For hardware engineers, ESP offers automated solutions to integrate their accelerator designs into the complete SoC. Conceived as a heterogeneous integration platform and tested through years of teaching at Columbia University, ESP supports the open-source hardware community by providing a flexible platform for agile SoC development.
ISSN:1558-2434
DOI:10.1145/3400302.3415753