Prodigy: Improving the Memory Latency of Data-Indirect Irregular Workloads Using Hardware-Software Co-Design

Irregular workloads are typically bottlenecked by the memory system. These workloads often use sparse data representations, e.g., compressed sparse row/column (CSR/CSC), to conserve space at the cost of complicated, irregular traversals. Such traversals access large volumes of data and offer little...

Full description

Saved in:
Bibliographic Details
Published in2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA) pp. 654 - 667
Main Authors Talati, Nishil, May, Kyle, Behroozi, Armand, Yang, Yichen, Kaszyk, Kuba, Vasiladiotis, Christos, Verma, Tarunesh, Li, Lu, Nguyen, Brandon, Sun, Jiawen, Morton, John Magnus, Ahmadi, Agreen, Austin, Todd, O'Boyle, Michael, Mahlke, Scott, Mudge, Trevor, Dreslinski, Ronald
Format Conference Proceeding
LanguageEnglish
Published IEEE 01.02.2021
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:Irregular workloads are typically bottlenecked by the memory system. These workloads often use sparse data representations, e.g., compressed sparse row/column (CSR/CSC), to conserve space at the cost of complicated, irregular traversals. Such traversals access large volumes of data and offer little locality for caches and conventional prefetchers to exploit. This paper presents Prodigy, a low-cost hardware-software codesign solution for intelligent prefetching to improve the memory latency of several important irregular workloads. Prodigy targets irregular workloads including graph analytics, sparse linear algebra, and fluid mechanics that exhibit two specific types of data-dependent memory access patterns. Prodigy adopts a "best of both worlds" approach by using static program information from software, and dynamic run-time information from hardware. The core of the system is the Data Indirection Graph (DIG)-a proposed compact representation used to express program semantics such as the layout and memory access patterns of key data structures. The DIG representation is agnostic to a particular data structure format and is demonstrated to work with several sparse formats including CSR and CSC. Program semantics are automatically captured with a compiler pass, encoded as a DIG, and inserted into the application binary. The DIG is then used to program a low-cost hardware prefetcher to fetch data according to an irregular algorithm's data structure traversal pattern. We equip the prefetcher with a flexible prefetching algorithm that maintains timeliness by dynamically adapting its prefetch distance to an application's execution pace. We evaluate the performance, energy consumption, and transistor cost of Prodigy using a variety of algorithms from the GAP, HPCG, and NAS benchmark suites. We compare the performance of Prodigy against a non-prefetching baseline as well as state-of-the-art prefetchers. We show that by using just 0.8KB of storage, Prodigy outperforms a non-prefetching baseline by 2.6 \times and saves energy by 1.6 \times, on average. Prodigy also outperforms modern data prefetchers by 1.5- 2.3 \times.
ISSN:2378-203X
DOI:10.1109/HPCA51647.2021.00061