Stress-driven 3D-IC placement with TSV keep-out zone and regularity study

Through-silicon via (TSV) fabrication causes tensile stress around TSVs which results in significant carrier mobility variation in the devices in their neighborhood. Keep-out zone (KOZ) is a conservative way to prevent any devices/cells from being impacted by the TSV-induced stress. However, owing t...

Full description

Saved in:
Bibliographic Details
Published in2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) pp. 669 - 674
Main Authors Athikulwongse, K, Chakraborty, A, Jae-Seok Yang, Pan, D Z, Sung Kyu Lim
Format Conference Proceeding
LanguageEnglish
Published IEEE 01.11.2010
Subjects
Online AccessGet full text

Cover

Loading…