Stress-driven 3D-IC placement with TSV keep-out zone and regularity study

Through-silicon via (TSV) fabrication causes tensile stress around TSVs which results in significant carrier mobility variation in the devices in their neighborhood. Keep-out zone (KOZ) is a conservative way to prevent any devices/cells from being impacted by the TSV-induced stress. However, owing t...

Full description

Saved in:
Bibliographic Details
Published in2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) pp. 669 - 674
Main Authors Athikulwongse, K, Chakraborty, A, Jae-Seok Yang, Pan, D Z, Sung Kyu Lim
Format Conference Proceeding
LanguageEnglish
Published IEEE 01.11.2010
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:Through-silicon via (TSV) fabrication causes tensile stress around TSVs which results in significant carrier mobility variation in the devices in their neighborhood. Keep-out zone (KOZ) is a conservative way to prevent any devices/cells from being impacted by the TSV-induced stress. However, owing to already large TSV size, large KOZ can significantly reduce the placement area available for cells, thus requiring larger dies which negate improvement in wirelength and timing due to 3D integration. In this paper, we study the impact of KOZ dimension on stress, carrier mobility variation, area, wirelength, and performance of 3D ICs. We demonstrate that, instead of requiring large KOZ, 3D-IC placers must exploit TSV stress-induced carrier mobility variation to improve the timing and area objectives during placement. We propose a new TSV stress-driven force-directed 3D placement that consistently provides placement result with, on average, 21.6% better worst negative slack (WNS) and 28.0% better total negative slack (TNS) than wirelength-driven placement.
ISBN:1424481937
9781424481934
ISSN:1092-3152
1558-2434
DOI:10.1109/ICCAD.2010.5654245