NSL-BLRL: efficient cache warmup for sampled processor simulation

Architectural simulation is extremely time-consuming given the huge number of instructions that need to be simulated for contemporary benchmarks. Sampled simulation which selects a number of samples from the complete benchmark execution yields substantial speedups. However, there is one major issue...

Full description

Saved in:
Bibliographic Details
Published in39th Annual Simulation Symposium (ANSS'06) pp. 8 pp. - 177
Main Authors Van Ertvelde, L., Hellebaut, F., Eeckhout, L., De Bosschere, K.
Format Conference Proceeding
LanguageEnglish
Published IEEE 2006
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:Architectural simulation is extremely time-consuming given the huge number of instructions that need to be simulated for contemporary benchmarks. Sampled simulation which selects a number of samples from the complete benchmark execution yields substantial speedups. However, there is one major issue that needs to be dealt with in order to minimize non-sampling bias, namely the hardware state at the beginning of each sample. This is well known in the literature as the cold-start problem. The hardware structures that suffer the most from the cold-start problem are cache hierarchies. In this paper, we propose NSL-BLRL which combines two previously proposed cache hierarchy warmup approaches, namely no-state-loss (NSL) and boundary line reuse latency (BLRL). The idea of NSL-BLRL is to warmup the cache hierarchy using a hardware state checkpoint that stores a truncated NSL stream. The NSL stream is a least-recently used stream of (unique) memory references in the pre-sample. This NSL stream is then truncated to form the NSL-BLRL warmup checkpoint; this is done by inspecting the sample for determining how far in the pre-sample one needs to go back to accurately warmup the hardware state for the given sample. We show using SPEC CPU2000 benchmarks that NSL-BLRL is (i) nearly as accurate as BLRL and NSL for sampled processor simulation, (ii) yields simulation time speedups of several orders of magnitude compared to BLRL, and (iii) is more space-efficient than NSL. As such, we conclude that NSL-BLRL is a highly efficient and accurate cache warmup strategy for sampled processor simulation.
ISBN:0769525598
9780769525594
ISSN:1080-241X
2331-107X
DOI:10.1109/ANSS.2006.33