Measurement point selection for in-operation wear-out monitoring

In recent IC designs, the risk of early failure due to electromigration wear-out has increased due to reduced feature dimensions. To give a warning of impending failure, wear-out monitoring approaches have included delay measurement circuitry on-chip. Due to the high cost of delay measurement circui...

Full description

Saved in:
Bibliographic Details
Published in14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems pp. 381 - 386
Main Authors Ingelsson, U, Shih-Yen Chang, Larsson, E
Format Conference Proceeding
LanguageEnglish
Published IEEE 01.04.2011
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:In recent IC designs, the risk of early failure due to electromigration wear-out has increased due to reduced feature dimensions. To give a warning of impending failure, wear-out monitoring approaches have included delay measurement circuitry on-chip. Due to the high cost of delay measurement circuitry this paper presents a method to reduce the number of necessary measurement points. The proposed method is based on identification of wear-out sensitive interconnects and selects a small number of measurement points that can be used to observe the state of all the wear-out sensitive interconnects. The method is demonstrated on ISCAS85 benchmark ICs with encouraging results.
ISBN:1424497558
9781424497553
DOI:10.1109/DDECS.2011.5783115