Tejas: A java based versatile micro-architectural simulator

In this paper, we present the design of a new Java based, cycle-accurate, heterogeneous architectural simulator, Tejas. Tejas is a trace driven simulator, which is platform-independent. It can simulate binaries in any ISA and corresponding to virtually any operating system. It can itself run on virt...

Full description

Saved in:
Bibliographic Details
Published in2015 25th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) pp. 47 - 54
Main Authors Sarangi, Smruti R., Kalayappan, Rajshekar, Kallurkar, Prathmesh, Goel, Seep, Peter, Eldhose
Format Conference Proceeding
LanguageEnglish
Published IEEE 01.09.2015
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:In this paper, we present the design of a new Java based, cycle-accurate, heterogeneous architectural simulator, Tejas. Tejas is a trace driven simulator, which is platform-independent. It can simulate binaries in any ISA and corresponding to virtually any operating system. It can itself run on virtually any machine. It is one of the fastest cycle accurate simulators available in academia. This is achieved through employing optimized data structures, improving the simulator's cache locality, and reducing the amount of wasteful work done. Tejas offers a rich library of architectural features that are modular and highly configurable. Tejas has been validated against real hardware (Dell PowerEdge R620 server) and has been shown to be more accurate than some of the most popular architectural simulators.
DOI:10.1109/PATMOS.2015.7347586