基于FPGA的极化码译码研究及实现

在二进制离散无记忆信道中极化码可以达到其信道极限容量,并且实现的复杂度较低,这在通信领域无疑是一个重大突破,因此在FPGA中实现极化码的译码有着非常重要的研究意义。首先介绍了SC(Successive Cancellation)译码算法,并将该算法的蝶形结构改进为线形结构从而提高了译码效率;接着对译码算法做了包括最小和译码、定点量化和资源共享的改进,以便于在硬件中更容易实现;最后在FPGA中实现了极化码的译码并给出了测试波形以及对不同编码块长度的综合资源进行了对比。实验结果表明,译码的最高频率可达145 MHz,吞吐率可达36.4 Mbps。...

Full description

Saved in:
Bibliographic Details
Published in电子技术应用 Vol. 43; no. 6; pp. 37 - 40
Main Author 邓媛媛 卿粼波 王正勇 高菁汐 徐成强
Format Journal Article
LanguageChinese
Published 四川大学 电子信息学院,四川 成都,610064 2017
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:在二进制离散无记忆信道中极化码可以达到其信道极限容量,并且实现的复杂度较低,这在通信领域无疑是一个重大突破,因此在FPGA中实现极化码的译码有着非常重要的研究意义。首先介绍了SC(Successive Cancellation)译码算法,并将该算法的蝶形结构改进为线形结构从而提高了译码效率;接着对译码算法做了包括最小和译码、定点量化和资源共享的改进,以便于在硬件中更容易实现;最后在FPGA中实现了极化码的译码并给出了测试波形以及对不同编码块长度的综合资源进行了对比。实验结果表明,译码的最高频率可达145 MHz,吞吐率可达36.4 Mbps。
Bibliography:FPGA ; polarization code ; channel polarization ; SC decoding
Deng Yuanyuan, Qing Linbo, Wang Zhengyong, Gao Jingxi, Xu Chengqiang (School of Electronics and Information Engineering, Sichuan University, Chengdu 610064, China)
In the binary discrete memoryless channel, polarization code can achieve its channel capacity limit and its implementation complexity is relatively low,which is a major breakthrough in communication field,so it is a very important research significance to realize polarization code decoding in FPGA. Firstly, the SC decoding algorithm is introduced and the butterfly structure is converted into liner structure in order to improve the efficiency of decoding. Then the decoding algorithm is improved by minimum decoding, fixed-point quantifying and resources sharing so that it is easier to implement in hardware. Finally, realizes the polarization code decoding in FPGA and gives the test waveforms, while the comprehensive resource about different coding block length are also compared in this paper
ISSN:0258-7998
DOI:10.16157/j.issn.0258-7998.2017.06.009