Providing platform heterogeneity-awareness for data center power management

Power management is becoming an increasingly critical component of modern enterprise computing environments. The traditional drive for higher performance has influenced trends towards consolidation and higher densities, artifacts enabled by virtualization and new small form factor server blades. The...

Full description

Saved in:
Bibliographic Details
Published inCluster computing Vol. 11; no. 3; pp. 259 - 271
Main Authors Nathuji, Ripal, Isci, Canturk, Gorbatov, Eugene, Schwan, Karsten
Format Journal Article
LanguageEnglish
Published Boston Springer US 01.09.2008
Springer Nature B.V
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:Power management is becoming an increasingly critical component of modern enterprise computing environments. The traditional drive for higher performance has influenced trends towards consolidation and higher densities, artifacts enabled by virtualization and new small form factor server blades. The resulting effect has been increased power and cooling requirements in data centers which elevate ownership costs and put more pressure on rack and enclosure densities. To address these issues, we exploit a fundamental characteristic of data centers: “platform heterogeneity”. This heterogeneity stems from the architectural and management-capability variations of the underlying platforms. We define an intelligent heterogeneity-aware load management (HALM) system that leverages heterogeneity characteristics to provide two data center level benefits: (i) power efficient allocations of workloads to the best fitting platforms and (ii) improved overall performance in a power constrained environment. Our infrastructure relies upon platform and workload descriptors as well as a novel analytical prediction layer that accurately predicts workload power/performance across different platform architectures and power management capabilities. Our allocation scheme achieves on average 20% improvements in power efficiency for representative heterogeneous data center configurations, and up to 18% improvements in performance degradation when power budgeting must be performed. These results highlight the significant potential of heterogeneity-aware management.
Bibliography:ObjectType-Article-2
SourceType-Scholarly Journals-1
ObjectType-Feature-1
content type line 23
ISSN:1386-7857
1573-7543
DOI:10.1007/s10586-008-0054-y