Technology of High-k/Metal-Gate Stack

Abstract The High-k/Metal-Gate Stack (HKMG) technology represents a seminal advancement in semiconductor fabrication, predicated upon the substitution of SiO2 with high-k dielectric materials, coupled with the integration of metal gate materials. This technological paradigm shift has emerged as a tr...

Full description

Saved in:
Bibliographic Details
Published inJournal of physics. Conference series Vol. 2798; no. 1; pp. 12039 - 12045
Main Author Wang, Mingshi
Format Journal Article
LanguageEnglish
Published Bristol IOP Publishing 01.07.2024
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:Abstract The High-k/Metal-Gate Stack (HKMG) technology represents a seminal advancement in semiconductor fabrication, predicated upon the substitution of SiO2 with high-k dielectric materials, coupled with the integration of metal gate materials. This technological paradigm shift has emerged as a transformative response to the intricate challenges encountered within the semiconductor industry, a sector perpetually engaged in the relentless pursuit of diminishing the critical dimensions of semiconductor devices. Issues such as increased power leakage, enhanced parasitic capacitance, and the escalating complexity of gate insulators have impeded the sustainable downscaling of traditional silicon dioxide-based devices. These challenges have necessitated a comprehensive re-evaluation of the materials and processes involved in semiconductor manufacturing, leading to the conception and evolution of the HKMG technology. This article’s analysis of the developmental trajectory of HKMG technology reveals a progression marked by the systematic exploration of alternative high-k dielectric materials, rigorous compatibility assessments with metal gate materials, and the concurrent refinement of manufacturing processes to ensure seamless integration. After that, elucidating the impediments encountered during its evolution and expounding upon the corresponding ameliorative measures instituted. Looking ahead, ongoing research focusing on the synthesis of advanced high-k dielectric materials, and the development of novel metal gate materials with superior compatibility to further enhance device performance and functionality makes HKMG technology a huge prospect. Such advancements are paving the way for the realization of more powerful, energy-efficient, and compact electronic devices.
ISSN:1742-6588
1742-6596
DOI:10.1088/1742-6596/2798/1/012039