Guiding a physical design closure system to produce easier-to-route designs with more predictable timing

Physical synthesis has emerged as one of the most important tools in design closure, which starts with the logic synthesis step and generates a new optimized netlist and its layout for the final signoff process. As stated in [1], "it is a wrapper around traditional place and route, whereby synt...

Full description

Saved in:
Bibliographic Details
Published inDAC Design Automation Conference 2012 pp. 465 - 470
Main Authors Li, Zhuo, Alpert, Charles J., Nam, Gi-Joon, Sze, Cliff, Viswanathan, Natarajan, Zhou, Nancy Y.
Format Conference Proceeding
LanguageEnglish
Published New York, NY, USA ACM 03.06.2012
IEEE
SeriesACM Conferences
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:Physical synthesis has emerged as one of the most important tools in design closure, which starts with the logic synthesis step and generates a new optimized netlist and its layout for the final signoff process. As stated in [1], "it is a wrapper around traditional place and route, whereby synthesis-based optimization are interwoven with placement and routing." A traditional physical synthesis tool generally focuses on design closure with Steiner wire model. It optimizes timing/area/power with the assumption that each net can be routed with optimal Steiner tree. However, advanced design rules, more IP and hierarchical design styles for super-large billion-gate designs, serious buffering problems from interconnect scaling and metal layer stacks make routing a much more challenging problem [2]. This paper discusses a series of techniques that may relieve this problem, and guide the physical design closure system to produce not only easier to route designs, but also better timing quality. Open challenges are also overviewed at the end.
ISBN:1450311997
9781450311991
ISSN:0738-100X
DOI:10.1145/2228360.2228442