SuperFlow: A Fully-Customized RTL-to-GDS Design Automation Flow for Adiabatic Quantum-Flux-Parametron Superconducting Circuits

Superconducting circuits, like Adiabatic Quantum-Flux-Parametron (AQFP), offer exceptional energy efficiency but face challenges in physical design due to sophisticated spacing and timing constraints. Current design tools often neglect the importance of constraint adherence throughout the entire des...

Full description

Saved in:
Bibliographic Details
Published inarXiv.org
Main Authors Xie, Yanyue, Dong, Peiyan, Geng Yuan, Li, Zhengang, Zabihi, Masoud, Wu, Chao, Sung-En Chang, Zhang, Xufeng, Lin, Xue, Ding, Caiwen, Yoshikawa, Nobuyuki, Chen, Olivia, Wang, Yanzhi
Format Paper
LanguageEnglish
Published Ithaca Cornell University Library, arXiv.org 25.07.2024
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:Superconducting circuits, like Adiabatic Quantum-Flux-Parametron (AQFP), offer exceptional energy efficiency but face challenges in physical design due to sophisticated spacing and timing constraints. Current design tools often neglect the importance of constraint adherence throughout the entire design flow. In this paper, we propose SuperFlow, a fully-customized RTL-to-GDS design flow tailored for AQFP devices. SuperFlow leverages a synthesis tool based on CMOS technology to transform any input RTL netlist to an AQFP-based netlist. Subsequently, we devise a novel place-and-route procedure that simultaneously considers wirelength, timing, and routability for AQFP circuits. The process culminates in the generation of the AQFP circuit layout, followed by a Design Rule Check (DRC) to identify and rectify any layout violations. Our experimental results demonstrate that SuperFlow achieves 12.8% wirelength improvement on average and 12.1% better timing quality compared with previous state-of-the-art placers for AQFP circuits.
ISSN:2331-8422