Benzocyclobutene polymer filling of high aspect-ratio annular trenches for fabrication of Through-Silicon-Vias (TSVs)

Through-Silicon-Vias (TSVs) with polymer liners have potential improved electrical and mechanical reliability for three-dimensional (3D) packaging/integration applications. To address the challenge in fabrication of polymer liners in high aspect-ratio TSVs, we have developed a vacuum-assisted spin-c...

Full description

Saved in:
Bibliographic Details
Published inMicroelectronics and reliability Vol. 52; no. 11; pp. 2670 - 2676
Main Authors Chen, Qianwen, Huang, Cui, Wang, Zheyao
Format Journal Article
LanguageEnglish
Published Kidlington Elsevier Ltd 01.11.2012
Elsevier
Subjects
Online AccessGet full text

Cover

Loading…
Abstract Through-Silicon-Vias (TSVs) with polymer liners have potential improved electrical and mechanical reliability for three-dimensional (3D) packaging/integration applications. To address the challenge in fabrication of polymer liners in high aspect-ratio TSVs, we have developed a vacuum-assisted spin-coating technology for filling benzocyclobutene (BCB) polymer in high aspect-ratio annular trenches. The newly developed spin-coating technology employs spin-coating twice and vacuum treatment after each coating. The vacuum treatment removes the bubbles at the trench bottom trapped during spin-coating and curing, and facilities the formation of void-free BCB filling. The influences of the BCB viscosities and the spin-coating parameters on the filling ability are evaluated, and upon optimization annular trenches with aspect-ratio as high as 22 have been successfully filled with no void formation, thin overburden, and slight dishing. This method is also applicable to trench filling using polymers with similar properties.
AbstractList Through-Silicon-Vias (TSVs) with polymer liners have potential improved electrical and mechanical reliability for three-dimensional (3D) packaging/integration applications. To address the challenge in fabrication of polymer liners in high aspect-ratio TSVs, we have developed a vacuum-assisted spin-coating technology for filling benzocyclobutene (BCB) polymer in high aspect-ratio annular trenches. The newly developed spin-coating technology employs spin-coating twice and vacuum treatment after each coating. The vacuum treatment removes the bubbles at the trench bottom trapped during spin-coating and curing, and facilities the formation of void-free BCB filling. The influences of the BCB viscosities and the spin-coating parameters on the filling ability are evaluated, and upon optimization annular trenches with aspect-ratio as high as 22 have been successfully filled with no void formation, thin overburden, and slight dishing. This method is also applicable to trench filling using polymers with similar properties.
Author Chen, Qianwen
Wang, Zheyao
Huang, Cui
Author_xml – sequence: 1
  givenname: Qianwen
  surname: Chen
  fullname: Chen, Qianwen
– sequence: 2
  givenname: Cui
  surname: Huang
  fullname: Huang, Cui
– sequence: 3
  givenname: Zheyao
  surname: Wang
  fullname: Wang, Zheyao
  email: z.wang@tsinghua.edu.cn
BackLink http://pascal-francis.inist.fr/vibad/index.php?action=getRecordDetail&idt=26549249$$DView record in Pascal Francis
BookMark eNqFkE1rGzEQhkVxobbbv1B0KbSH3YzWu7L21sQ0H2DIwa7pTcjakVdGloy0Dji_vjJucu1pYOZ9ZphnQkY-eCTkK4OSAeM3-_JgdQwRXVkBq0rgJQD7QMZMzKuirdmfERkDVLyo5qz-RCYp7QFgDoyNyekO_WvQZ-3C9jSgR3oM7nzASI11zvodDYb2dtdTlY6ohyKqwQaqvD85FekQ0eseEzUhE2obrb7M_YVa9zGcdn2xss7q4IuNVYl-X6826cdn8tEol_DLvzolv-9_rRePxfL54Wlxuyx0DWIoGqaAz1sjhMGuM3WrZ0KIbVNxrtpOtDXXrMGZaGZC1cwYZAJyjzcN1y0HnE0Jv-7NflKKaOQx2oOKZ8lAXuTJvXyTJy_yJHCZ5WXw2xU8qqSVM1F5bdM7XfGmbqu6zbmf1xzmL14sRpm0zUqwszHbkl2w_zv1F6ctjD8
CODEN MCRLAS
CitedBy_id crossref_primary_10_1149_2_0141910jss
crossref_primary_10_1016_j_mee_2015_09_009
crossref_primary_10_1587_elex_12_20150400
crossref_primary_10_1016_j_microrel_2014_02_030
crossref_primary_10_1016_j_mee_2014_12_009
crossref_primary_10_1007_s11431_014_5551_z
crossref_primary_10_1109_TED_2013_2244895
crossref_primary_10_1109_TED_2015_2504093
crossref_primary_10_1016_j_tsf_2017_01_012
crossref_primary_10_1109_TCPMT_2016_2620984
crossref_primary_10_1016_j_microrel_2012_12_012
crossref_primary_10_1016_j_microrel_2016_08_003
crossref_primary_10_1109_TCPMT_2013_2241179
crossref_primary_10_1109_JDT_2015_2493368
crossref_primary_10_1007_s00542_014_2324_3
crossref_primary_10_1007_s00542_016_3243_2
crossref_primary_10_1016_j_matlet_2015_08_140
crossref_primary_10_1109_TCPMT_2014_2363659
crossref_primary_10_1016_j_sna_2024_115256
crossref_primary_10_2320_matertrans_M2015335
crossref_primary_10_1017_jfm_2017_190
crossref_primary_10_1109_TCPMT_2016_2514365
crossref_primary_10_1007_s11431_016_0266_6
Cites_doi 10.1109/TCPMT.2011.2114885
10.1016/j.mee.2009.11.165
10.1007/s00542-009-0976-1
10.1016/j.microrel.2006.09.002
10.1109/JPROC.2008.2007458
10.1016/j.microrel.2009.09.015
10.1016/j.microrel.2010.07.019
10.1109/TED.2006.884079
10.1016/j.mee.2005.07.005
10.1147/JRD.2008.5388564
10.1109/EPTC.2009.5416443
10.1109/TDMR.2010.2068572
10.1109/TCPMT.2011.2160395
10.1016/j.microrel.2011.06.003
10.1147/JRD.2008.5388565
10.1109/LED.2011.2141109
10.1016/j.microrel.2006.09.003
10.1116/1.3532828
10.1109/IITC.2011.5940352
10.1007/s00542-009-0894-2
10.1109/JPROC.2006.873612
10.1109/ECTC.2009.5074158
10.1109/TED.2006.882043
10.1016/j.microrel.2009.10.012
10.1016/S0167-9317(96)00061-5
ContentType Journal Article
Copyright 2012 Elsevier Ltd
2015 INIST-CNRS
Copyright_xml – notice: 2012 Elsevier Ltd
– notice: 2015 INIST-CNRS
DBID IQODW
AAYXX
CITATION
DOI 10.1016/j.microrel.2012.06.001
DatabaseName Pascal-Francis
CrossRef
DatabaseTitle CrossRef
DatabaseTitleList
DeliveryMethod fulltext_linktorsrc
Discipline Engineering
Applied Sciences
EISSN 1872-941X
EndPage 2676
ExternalDocumentID 10_1016_j_microrel_2012_06_001
26549249
S0026271412001850
GroupedDBID --K
--M
.DC
.~1
0R~
123
1B1
1~.
1~5
29M
4.4
457
4G.
5VS
7-5
71M
8P~
9JN
AABNK
AABXZ
AACTN
AAEDT
AAEDW
AAEPC
AAIAV
AAIKJ
AAKOC
AALRI
AAOAW
AAQFI
AAQXK
AAXUO
AAYFN
ABBOA
ABFNM
ABFRF
ABJNI
ABMAC
ABXDB
ABXRA
ABYKQ
ACDAQ
ACGFS
ACNNM
ACRLP
ACZNC
ADBBV
ADEZE
ADJOM
ADMUD
ADTZH
AEBSH
AECPX
AEFWE
AEKER
AENEX
AEZYN
AFKWA
AFRZQ
AFTJW
AGHFR
AGUBO
AGYEJ
AHHHB
AHJVU
AHZHX
AIALX
AIEXJ
AIKHN
AITUG
AJBFU
AJOXV
ALMA_UNASSIGNED_HOLDINGS
AMFUW
AMRAJ
AOUOD
AXJTR
AZFZN
BJAXD
BKOJK
BLXMC
CS3
DU5
EBS
EFJIC
EFLBG
EJD
EO8
EO9
EP2
EP3
F5P
FDB
FEDTE
FGOYB
FIRID
FNPLU
FYGXN
G-2
G-Q
GBLVA
GBOLZ
HVGLF
HZ~
IHE
J1W
JJJVA
KOM
LY7
M41
MAGPM
MO0
N9A
O-L
O9-
OAUVE
OZT
P-8
P-9
P2P
PC.
Q38
R2-
RIG
RNS
ROL
RPZ
RXW
SDF
SDG
SES
SET
SEW
SPC
SPCBC
SPD
SSM
SST
SSV
SSZ
T5K
T9H
TAE
UHS
UNMZH
WUQ
XOL
ZMT
~G-
08R
AAPBV
ABPIF
ABPTK
IQODW
AAXKI
AAYXX
AFJKZ
AKRWK
CITATION
ID FETCH-LOGICAL-c408t-51a0679f88feddf49c3888b5266a9d8946c15e38538a41ffe18046c6556c960e3
IEDL.DBID .~1
ISSN 0026-2714
IngestDate Thu Sep 26 16:26:10 EDT 2024
Sun Oct 22 16:05:12 EDT 2023
Fri Feb 23 02:36:58 EST 2024
IsPeerReviewed true
IsScholarly true
Issue 11
Keywords Viscosity
Trench technology
Polymer
Aspect ratio
Optimization
Hardening
Three dimensional model
Three dimensional structure
Cyclobutene derivative
Interconnection
Electronic packaging
Spin-on coatings
Integrated circuit
Silicon
Void
Reliability
Via hole
Language English
License CC BY 4.0
LinkModel DirectLink
MergedId FETCHMERGED-LOGICAL-c408t-51a0679f88feddf49c3888b5266a9d8946c15e38538a41ffe18046c6556c960e3
PageCount 7
ParticipantIDs crossref_primary_10_1016_j_microrel_2012_06_001
pascalfrancis_primary_26549249
elsevier_sciencedirect_doi_10_1016_j_microrel_2012_06_001
PublicationCentury 2000
PublicationDate 2012-11-01
PublicationDateYYYYMMDD 2012-11-01
PublicationDate_xml – month: 11
  year: 2012
  text: 2012-11-01
  day: 01
PublicationDecade 2010
PublicationPlace Kidlington
PublicationPlace_xml – name: Kidlington
PublicationTitle Microelectronics and reliability
PublicationYear 2012
Publisher Elsevier Ltd
Elsevier
Publisher_xml – name: Elsevier Ltd
– name: Elsevier
References Knickerbocker, Andry, Dang, Horton, Interrante, Patel (b0035) 2008; 52
van Gils, van der Sluis, Zhang, Janssen, Voncken (b0085) 2007; 47
Ramm, Klumpp, Weber, Taklo (b0010) 2010; 16
Hsin, Chen, Lau, Tzeng, Shen, Hsu (b0045) 2011; 61
Ranganathan, Lee, Youhe, Lo, Prasad, Pey (b0050) 2011; 1
Bea, Lee, Fukushima, Tanaka, Koyanagi (b0055) 2011; 32
Modafe, Ghalichechian, Powers, Khbeis, Ghodssi (b0140) 2005; 82
Chen, Wang, Tan, Liu (b0125) 2010; 87
Koyanagi, Nakamura, Yamada, Kikuchi (b0020) 2006; 53
Civale Y, Croes K, Miyamori Y, Thangaraju S, Redolfi A, Van Ammel A, et al. Thermal stability of copper through-silicon via barriers during IC processing. IEEE Intl Interconnect Tech Conf and Mat Adv Metallization (IITC/MAM) 2011;1–3.
Tezcan D S, Duval F, Philipsen H, Luhn O, Soussan P, Swinnen B. Scalable through silicon via with polymer deep trench isolation for 3D wafer level packaging. IEEE Electron Comp Tech Conf 2009:1159–1164.
Chen, Zhang, Tan, Wang, Liu, Lu (b0130) 2011; 29
Patti (b0015) 2006; 94
Tan, Tan, Zhang, Chai, Yu (b0070) 2010; 50
Kotb, Isoird, Morancho, Théolier, Conto (b0115) 2009; 15
Duval, Okoro, Civale, Soussan, Beyne (b0110) 2011; 6
Lu (b0005) 2009; 97
Schoenfelder, Ebert, Landesberger, Bock, Bagdahn (b0080) 2007; 47
De Wolf, Croes, Pedreira, Labie, Redolfi, Van De Peer (b0095) 2011; 51
Yang, Labie, Ling, Zhao, Radisic, Van Olmen (b0060) 2010; 50
Shen, Chien, Cheng, Lin (b0075) 2010; 50
Mills, Townsend, Castillo, Martin, Achen (b0135) 1997; 33
Koester, Young, Yu, Purushothaman, Chen, La Tulipe (b0025) 2008; 52
Ko, Chen (b0040) 2010; 50
Selvanayagam, Zhang, Rajoo, Pinjala (b0100) 2011; 1
Burns, Aull, Chen, Chen (b0030) 2006; 53
Ryu, Lu, Zhang, Im, Ho, Huang (b0090) 2011; 11
Chausse P, Bouchoucha M, Henry D, Sillon N, Chapelon LL. Polymer filling of medium density through silicon via for 3-Dpackaging. In 11th IEEE electron pack tech conf Singapore 2009:790–794.
Mills (10.1016/j.microrel.2012.06.001_b0135) 1997; 33
Selvanayagam (10.1016/j.microrel.2012.06.001_b0100) 2011; 1
Koester (10.1016/j.microrel.2012.06.001_b0025) 2008; 52
Burns (10.1016/j.microrel.2012.06.001_b0030) 2006; 53
Yang (10.1016/j.microrel.2012.06.001_b0060) 2010; 50
Ranganathan (10.1016/j.microrel.2012.06.001_b0050) 2011; 1
10.1016/j.microrel.2012.06.001_b0105
Bea (10.1016/j.microrel.2012.06.001_b0055) 2011; 32
Chen (10.1016/j.microrel.2012.06.001_b0125) 2010; 87
Modafe (10.1016/j.microrel.2012.06.001_b0140) 2005; 82
Ramm (10.1016/j.microrel.2012.06.001_b0010) 2010; 16
Tan (10.1016/j.microrel.2012.06.001_b0070) 2010; 50
Duval (10.1016/j.microrel.2012.06.001_b0110) 2011; 6
10.1016/j.microrel.2012.06.001_b0065
10.1016/j.microrel.2012.06.001_b0120
Ryu (10.1016/j.microrel.2012.06.001_b0090) 2011; 11
Koyanagi (10.1016/j.microrel.2012.06.001_b0020) 2006; 53
Hsin (10.1016/j.microrel.2012.06.001_b0045) 2011; 61
Kotb (10.1016/j.microrel.2012.06.001_b0115) 2009; 15
Schoenfelder (10.1016/j.microrel.2012.06.001_b0080) 2007; 47
Patti (10.1016/j.microrel.2012.06.001_b0015) 2006; 94
van Gils (10.1016/j.microrel.2012.06.001_b0085) 2007; 47
Lu (10.1016/j.microrel.2012.06.001_b0005) 2009; 97
Knickerbocker (10.1016/j.microrel.2012.06.001_b0035) 2008; 52
Ko (10.1016/j.microrel.2012.06.001_b0040) 2010; 50
Chen (10.1016/j.microrel.2012.06.001_b0130) 2011; 29
De Wolf (10.1016/j.microrel.2012.06.001_b0095) 2011; 51
Shen (10.1016/j.microrel.2012.06.001_b0075) 2010; 50
References_xml – volume: 50
  start-page: 489
  year: 2010
  end-page: 497
  ident: b0075
  article-title: Development of three-dimensional chip stacking technology using a clamped Through-Silicon Via interconnection
  publication-title: Microelectron Reliab
  contributor:
    fullname: Lin
– volume: 47
  start-page: 179
  year: 2007
  end-page: 186
  ident: b0085
  article-title: Analysis of Cu/low-k bond pad delamination by using a novel failure index
  publication-title: Microelectron Reliab
  contributor:
    fullname: Voncken
– volume: 52
  start-page: 553
  year: 2008
  end-page: 569
  ident: b0035
  article-title: Three-dimensional silicon integration
  publication-title: IBM J Res & Dev
  contributor:
    fullname: Patel
– volume: 94
  start-page: 1214
  year: 2006
  end-page: 1224
  ident: b0015
  article-title: Three-dimensional integrated circuits and the future of system-on-chip designs
  publication-title: Proc IEEE
  contributor:
    fullname: Patti
– volume: 6
  start-page: 825
  year: 2011
  end-page: 836
  ident: b0110
  article-title: Polymer filling of silicon trenches for 3-D through silicon vias applications
  publication-title: IEEE Trans Comp Pack Manuf Tech
  contributor:
    fullname: Beyne
– volume: 29
  start-page: 011019
  year: 2011
  ident: b0130
  article-title: Thick benzocyclobutene etching using high density SF6/O2 plasma
  publication-title: J Vac Sci Technol B
  contributor:
    fullname: Lu
– volume: 32
  start-page: 940
  year: 2011
  end-page: 942
  ident: b0055
  article-title: Evaluation of Cu diffusion from Cu Through-Silicon Via (TSV) in three-dimensional LSI by transient capacitance measurement
  publication-title: IEEE Electron Dev Lett
  contributor:
    fullname: Koyanagi
– volume: 50
  start-page: 1328
  year: 2010
  end-page: 1335
  ident: b0070
  article-title: Electromigration performance of through silicon via (TSV) - a modeling approach
  publication-title: Microelectron Reliab
  contributor:
    fullname: Yu
– volume: 16
  start-page: 1051
  year: 2010
  end-page: 1055
  ident: b0010
  article-title: 3D System-on-chip technologies for more than Moore systems
  publication-title: Microsyst Technol
  contributor:
    fullname: Taklo
– volume: 87
  start-page: 19451950
  year: 2010
  ident: b0125
  article-title: Characterization of reactive ion etching of benzocyclobutene in SF6/O2 plasmas
  publication-title: Microelectron Eng.
  contributor:
    fullname: Liu
– volume: 15
  start-page: 1395
  year: 2009
  end-page: 1400
  ident: b0115
  article-title: Filling of very deep, wide trenches by benzocyclobutene polymer
  publication-title: Microsyst Technol
  contributor:
    fullname: Conto
– volume: 82
  start-page: 154
  year: 2005
  end-page: 167
  ident: b0140
  article-title: Embedded benzocyclobutene in silicon: an integrated fabrication process for electrical and thermal isolation in MEMS
  publication-title: Microelectron Eng
  contributor:
    fullname: Ghodssi
– volume: 50
  start-page: 481
  year: 2010
  end-page: 488
  ident: b0040
  article-title: Wafer-level bonding/stacking technology for 3D integration
  publication-title: Microelectron Reliab
  contributor:
    fullname: Chen
– volume: 61
  start-page: 1130
  year: 2011
  end-page: 1135
  ident: b0045
  article-title: Effects of etch rate on scallop of Through-Silicon Vias (TSVs) in 200
  publication-title: IEEE Electronic Comp Tech Conf
  contributor:
    fullname: Hsu
– volume: 1
  start-page: 1497
  year: 2011
  end-page: 1507
  ident: b0100
  article-title: Modeling stress in silicon with TSVs and its effect on mobility
  publication-title: IEEE Trans Comp Pack Manuf Technol
  contributor:
    fullname: Pinjala
– volume: 47
  start-page: 168
  year: 2007
  end-page: 178
  ident: b0080
  article-title: Investigations of the influence of dicing techniques on the strength properties of thin silicon
  publication-title: Microelectron Reliab
  contributor:
    fullname: Bagdahn
– volume: 50
  start-page: 1636
  year: 2010
  end-page: 1640
  ident: b0060
  article-title: Processing assessment and adhesion evaluation of copper Through-Silicon Vias (TSVs) for three-dimensional stacked-integrated circuit (3D-SIC) architectures
  publication-title: Microelectronics Reliab
  contributor:
    fullname: Van Olmen
– volume: 33
  start-page: 327
  year: 1997
  end-page: 334
  ident: b0135
  article-title: Benzocyclobutene (DVS-BCB) polymer as an interlayer dielectric (ILD) material
  publication-title: Microelectron Eng
  contributor:
    fullname: Achen
– volume: 97
  start-page: 18
  year: 2009
  end-page: 30
  ident: b0005
  article-title: 3-D hyperintegration and packaging technologies for micro
  publication-title: Proc IEEE
  contributor:
    fullname: Lu
– volume: 11
  start-page: 35
  year: 2011
  end-page: 43
  ident: b0090
  article-title: Impact of near-surface thermal stresses on interfacial reliability of Through-Silicon Vias for 3-D interconnects
  publication-title: IEEE Trans Dev Mat Reliab
  contributor:
    fullname: Huang
– volume: 53
  start-page: 2799
  year: 2006
  end-page: 2808
  ident: b0020
  article-title: Three-dimensional integration technology based on wafer bonding with vertical buried interconnections
  publication-title: IEEE Trans Electron Dev
  contributor:
    fullname: Kikuchi
– volume: 1
  start-page: 1497
  year: 2011
  end-page: 1507
  ident: b0050
  article-title: Influence of Bosch etch process on electrical isolation of TSV structures
  publication-title: IEEE Trans Comp Pack Manuf Technol
  contributor:
    fullname: Pey
– volume: 52
  start-page: 583
  year: 2008
  end-page: 597
  ident: b0025
  article-title: Wafer-level 3D integration technology
  publication-title: IBM J Res & Dev
  contributor:
    fullname: La Tulipe
– volume: 53
  start-page: 2507
  year: 2006
  end-page: 2516
  ident: b0030
  article-title: A wafer-scale 3-D circuit integration technology
  publication-title: IEEE Trans Electron Dev
  contributor:
    fullname: Chen
– volume: 51
  start-page: 1856
  year: 2011
  end-page: 1859
  ident: b0095
  article-title: Cu pumping in TSVs: effect of pre-CMP thermal budget
  publication-title: Microelectron Reliab
  contributor:
    fullname: Van De Peer
– volume: 6
  start-page: 825
  year: 2011
  ident: 10.1016/j.microrel.2012.06.001_b0110
  article-title: Polymer filling of silicon trenches for 3-D through silicon vias applications
  publication-title: IEEE Trans Comp Pack Manuf Tech
  doi: 10.1109/TCPMT.2011.2114885
  contributor:
    fullname: Duval
– volume: 87
  start-page: 19451950
  year: 2010
  ident: 10.1016/j.microrel.2012.06.001_b0125
  article-title: Characterization of reactive ion etching of benzocyclobutene in SF6/O2 plasmas
  publication-title: Microelectron Eng.
  doi: 10.1016/j.mee.2009.11.165
  contributor:
    fullname: Chen
– volume: 16
  start-page: 1051
  year: 2010
  ident: 10.1016/j.microrel.2012.06.001_b0010
  article-title: 3D System-on-chip technologies for more than Moore systems
  publication-title: Microsyst Technol
  doi: 10.1007/s00542-009-0976-1
  contributor:
    fullname: Ramm
– volume: 47
  start-page: 168
  issue: 2–3
  year: 2007
  ident: 10.1016/j.microrel.2012.06.001_b0080
  article-title: Investigations of the influence of dicing techniques on the strength properties of thin silicon
  publication-title: Microelectron Reliab
  doi: 10.1016/j.microrel.2006.09.002
  contributor:
    fullname: Schoenfelder
– volume: 97
  start-page: 18
  year: 2009
  ident: 10.1016/j.microrel.2012.06.001_b0005
  article-title: 3-D hyperintegration and packaging technologies for micro–nano systems
  publication-title: Proc IEEE
  doi: 10.1109/JPROC.2008.2007458
  contributor:
    fullname: Lu
– volume: 1
  start-page: 1497
  issue: 9
  year: 2011
  ident: 10.1016/j.microrel.2012.06.001_b0100
  article-title: Modeling stress in silicon with TSVs and its effect on mobility
  publication-title: IEEE Trans Comp Pack Manuf Technol
  contributor:
    fullname: Selvanayagam
– volume: 50
  start-page: 481
  issue: 4
  year: 2010
  ident: 10.1016/j.microrel.2012.06.001_b0040
  article-title: Wafer-level bonding/stacking technology for 3D integration
  publication-title: Microelectron Reliab
  doi: 10.1016/j.microrel.2009.09.015
  contributor:
    fullname: Ko
– volume: 50
  start-page: 1636
  issue: 9–11
  year: 2010
  ident: 10.1016/j.microrel.2012.06.001_b0060
  article-title: Processing assessment and adhesion evaluation of copper Through-Silicon Vias (TSVs) for three-dimensional stacked-integrated circuit (3D-SIC) architectures
  publication-title: Microelectronics Reliab
  doi: 10.1016/j.microrel.2010.07.019
  contributor:
    fullname: Yang
– volume: 50
  start-page: 1328
  issue: 9–11
  year: 2010
  ident: 10.1016/j.microrel.2012.06.001_b0070
  article-title: Electromigration performance of through silicon via (TSV) - a modeling approach
  publication-title: Microelectron Reliab
  contributor:
    fullname: Tan
– volume: 53
  start-page: 2799
  year: 2006
  ident: 10.1016/j.microrel.2012.06.001_b0020
  article-title: Three-dimensional integration technology based on wafer bonding with vertical buried interconnections
  publication-title: IEEE Trans Electron Dev
  doi: 10.1109/TED.2006.884079
  contributor:
    fullname: Koyanagi
– volume: 82
  start-page: 154
  issue: 2
  year: 2005
  ident: 10.1016/j.microrel.2012.06.001_b0140
  article-title: Embedded benzocyclobutene in silicon: an integrated fabrication process for electrical and thermal isolation in MEMS
  publication-title: Microelectron Eng
  doi: 10.1016/j.mee.2005.07.005
  contributor:
    fullname: Modafe
– volume: 52
  start-page: 553
  year: 2008
  ident: 10.1016/j.microrel.2012.06.001_b0035
  article-title: Three-dimensional silicon integration
  publication-title: IBM J Res & Dev
  doi: 10.1147/JRD.2008.5388564
  contributor:
    fullname: Knickerbocker
– ident: 10.1016/j.microrel.2012.06.001_b0120
  doi: 10.1109/EPTC.2009.5416443
– volume: 11
  start-page: 35
  issue: 1
  year: 2011
  ident: 10.1016/j.microrel.2012.06.001_b0090
  article-title: Impact of near-surface thermal stresses on interfacial reliability of Through-Silicon Vias for 3-D interconnects
  publication-title: IEEE Trans Dev Mat Reliab
  doi: 10.1109/TDMR.2010.2068572
  contributor:
    fullname: Ryu
– volume: 1
  start-page: 1497
  issue: 10
  year: 2011
  ident: 10.1016/j.microrel.2012.06.001_b0050
  article-title: Influence of Bosch etch process on electrical isolation of TSV structures
  publication-title: IEEE Trans Comp Pack Manuf Technol
  doi: 10.1109/TCPMT.2011.2160395
  contributor:
    fullname: Ranganathan
– volume: 51
  start-page: 1856
  issue: 9–11
  year: 2011
  ident: 10.1016/j.microrel.2012.06.001_b0095
  article-title: Cu pumping in TSVs: effect of pre-CMP thermal budget
  publication-title: Microelectron Reliab
  doi: 10.1016/j.microrel.2011.06.003
  contributor:
    fullname: De Wolf
– volume: 52
  start-page: 583
  year: 2008
  ident: 10.1016/j.microrel.2012.06.001_b0025
  article-title: Wafer-level 3D integration technology
  publication-title: IBM J Res & Dev
  doi: 10.1147/JRD.2008.5388565
  contributor:
    fullname: Koester
– volume: 32
  start-page: 940
  year: 2011
  ident: 10.1016/j.microrel.2012.06.001_b0055
  article-title: Evaluation of Cu diffusion from Cu Through-Silicon Via (TSV) in three-dimensional LSI by transient capacitance measurement
  publication-title: IEEE Electron Dev Lett
  doi: 10.1109/LED.2011.2141109
  contributor:
    fullname: Bea
– volume: 47
  start-page: 179
  issue: 2–3
  year: 2007
  ident: 10.1016/j.microrel.2012.06.001_b0085
  article-title: Analysis of Cu/low-k bond pad delamination by using a novel failure index
  publication-title: Microelectron Reliab
  doi: 10.1016/j.microrel.2006.09.003
  contributor:
    fullname: van Gils
– volume: 29
  start-page: 011019
  issue: 1
  year: 2011
  ident: 10.1016/j.microrel.2012.06.001_b0130
  article-title: Thick benzocyclobutene etching using high density SF6/O2 plasma
  publication-title: J Vac Sci Technol B
  doi: 10.1116/1.3532828
  contributor:
    fullname: Chen
– volume: 61
  start-page: 1130
  year: 2011
  ident: 10.1016/j.microrel.2012.06.001_b0045
  article-title: Effects of etch rate on scallop of Through-Silicon Vias (TSVs) in 200mm and 300mm wafers
  publication-title: IEEE Electronic Comp Tech Conf
  contributor:
    fullname: Hsin
– ident: 10.1016/j.microrel.2012.06.001_b0065
  doi: 10.1109/IITC.2011.5940352
– volume: 15
  start-page: 1395
  issue: 9
  year: 2009
  ident: 10.1016/j.microrel.2012.06.001_b0115
  article-title: Filling of very deep, wide trenches by benzocyclobutene polymer
  publication-title: Microsyst Technol
  doi: 10.1007/s00542-009-0894-2
  contributor:
    fullname: Kotb
– volume: 94
  start-page: 1214
  year: 2006
  ident: 10.1016/j.microrel.2012.06.001_b0015
  article-title: Three-dimensional integrated circuits and the future of system-on-chip designs
  publication-title: Proc IEEE
  doi: 10.1109/JPROC.2006.873612
  contributor:
    fullname: Patti
– ident: 10.1016/j.microrel.2012.06.001_b0105
  doi: 10.1109/ECTC.2009.5074158
– volume: 53
  start-page: 2507
  year: 2006
  ident: 10.1016/j.microrel.2012.06.001_b0030
  article-title: A wafer-scale 3-D circuit integration technology
  publication-title: IEEE Trans Electron Dev
  doi: 10.1109/TED.2006.882043
  contributor:
    fullname: Burns
– volume: 50
  start-page: 489
  issue: 4
  year: 2010
  ident: 10.1016/j.microrel.2012.06.001_b0075
  article-title: Development of three-dimensional chip stacking technology using a clamped Through-Silicon Via interconnection
  publication-title: Microelectron Reliab
  doi: 10.1016/j.microrel.2009.10.012
  contributor:
    fullname: Shen
– volume: 33
  start-page: 327
  issue: 1–4
  year: 1997
  ident: 10.1016/j.microrel.2012.06.001_b0135
  article-title: Benzocyclobutene (DVS-BCB) polymer as an interlayer dielectric (ILD) material
  publication-title: Microelectron Eng
  doi: 10.1016/S0167-9317(96)00061-5
  contributor:
    fullname: Mills
SSID ssj0007011
Score 2.2211225
Snippet Through-Silicon-Vias (TSVs) with polymer liners have potential improved electrical and mechanical reliability for three-dimensional (3D) packaging/integration...
SourceID crossref
pascalfrancis
elsevier
SourceType Aggregation Database
Index Database
Publisher
StartPage 2670
SubjectTerms Applied sciences
Design. Technologies. Operation analysis. Testing
Electronics
Exact sciences and technology
Integrated circuits
Semiconductor electronics. Microelectronics. Optoelectronics. Solid state devices
Title Benzocyclobutene polymer filling of high aspect-ratio annular trenches for fabrication of Through-Silicon-Vias (TSVs)
URI https://dx.doi.org/10.1016/j.microrel.2012.06.001
Volume 52
hasFullText 1
inHoldings 1
isFullTextHit
isPrint
link http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwpV07T8MwELaqsoAQ4inKo_LAAIPbJrFdZywVVQHRpQ91i2zHFqnapOpjKAO_HTsPaAfEwJgol0R3p3v5vjsA7kgoQ0Ydijj1fYSbmiGBmxhR5lEfMy14OlLorUe7Q_wyJuMSaBdYGNtWmdv-zKan1jq_U8-5WZ9HkcX4utRtOtixbUEszduxcUZGp2ufP20ezYaTbc1zKbJPb6GEJ7WZbXpbKHsEYWuC6bnEbw7qcM6Xhm0623ex5YQ6x-Aojx5hK_vBE1BS8Sk42JopeAbWjyr-SORGThOxNgGxgvNkupmpBdRROn8bJhraIcWQpyhLlKoAtIhhk-TClUX_vaslNMEs1Fws8pqepRpkO31QP5oa_YnRKOJLeD_oj5YP52DYeRq0uyjfrYAkbrAVIg63JSTNmFZhqLEvPZMLC2L8NfdD5mMqHaI848wZx47WymEmk5aUECpN0qO8C1COk1hdAiik9pSJk0RILZngEocu1R5TJDTRCKmAesHQYJ6N0AiK3rJJUIggsCIIsia7CvALvgc7yhAYO_8nbXVHUN-fdKkdRof9q3-8_Brs26sMi3gDyqvFWt2aoGQlqqnWVcFe6_m12_sCfRLjRQ
link.rule.ids 315,786,790,4521,24144,27957,27958,45620,45714
linkProvider Elsevier
linkToHtml http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwpV07T8MwELYqGAAhxFOUR_HAAIMpSWzXGaECledCQWyR7dgiVZtUfQxl4LfjywPKgBhYk1wSnU9339n33SF0zGIdC-5xInkYEtqygijaooSLgIdUWCXzlkIPj7zzTG9f2WsNtSsuDJRVlr6_8Om5ty6vNEttNodJAhxfn_stj3pQFiQgb18EOA_zG84-vus8WudeMTbP5wQen6MJ984GUPU2MnAGAZuC-cHEbxFqdSjHTm-2GHgxF4Wu19FaCR_xRfGHG6hm0k20MtdUcAtNL036numZ7mdq6hCxwcOsPxuYEbZJ3oAbZxZDl2Isc5olyW0AA2XYZbl4AvS_NzPGDs1iK9Wo3NQDqW4x1Ic8JX1nQCl5SeQYn3SfXsan2-j5-qrb7pByuALR9FxMCPMk7CFZIayJY0tDHbhkWDEXsGUYi5By7TETuGguJPWsNZ5wqbTmjHHtsh4T7KCFNEvNLsJK28A4oKRiDmJKahr73AbCsNjBEVZHzUqh0bDooRFVxWW9qFqCCJYgKqrs6iis9B79sIbIOfo_ZRs_Furrkz6HbnQ03PvHy4_QUqf7cB_d3zze7aNluFMQEw_QwmQ0NYcOoUxUI7fAT8yO5Nc
openUrl ctx_ver=Z39.88-2004&ctx_enc=info%3Aofi%2Fenc%3AUTF-8&rfr_id=info%3Asid%2Fsummon.serialssolutions.com&rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&rft.genre=article&rft.atitle=Benzocyclobutene+polymer+filling+of+high+aspect-ratio+annular+trenches+for+fabrication+of+Through-Silicon-Vias+%28TSVs%29&rft.jtitle=Microelectronics+and+reliability&rft.au=QIANWEN+CHEN&rft.au=CUI+HUANG&rft.au=ZHEYAO+WANG&rft.date=2012-11-01&rft.pub=Elsevier&rft.issn=0026-2714&rft.eissn=1872-941X&rft.volume=52&rft.issue=11&rft.spage=2670&rft.epage=2676&rft_id=info:doi/10.1016%2Fj.microrel.2012.06.001&rft.externalDBID=n%2Fa&rft.externalDocID=26549249
thumbnail_l http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/lc.gif&issn=0026-2714&client=summon
thumbnail_m http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/mc.gif&issn=0026-2714&client=summon
thumbnail_s http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/sc.gif&issn=0026-2714&client=summon