Delay Evaluation of Issue Queue in Superscalar Processors with Banking Tag RAM and Correct Critical Path Identification

This paper evaluates the delay of the issue queue in a superscalar processor to aid microarchitectural design, where quick quantification of the complexity of the issue queue is needed to consider the tradeoff between clock cycle time and instructions per cycle. Our study covers two aspects. First,...

Full description

Saved in:
Bibliographic Details
Published inIEICE Transactions on Information and Systems Vol. E95.D; no. 9; pp. 2235 - 2246
Main Authors YAMAGUCHI, Kyohei, KORA, Yuya, ANDO, Hideki
Format Journal Article
LanguageEnglish
Published Oxford The Institute of Electronics, Information and Communication Engineers 2012
Oxford University Press
Subjects
Online AccessGet full text

Cover

Loading…
Abstract This paper evaluates the delay of the issue queue in a superscalar processor to aid microarchitectural design, where quick quantification of the complexity of the issue queue is needed to consider the tradeoff between clock cycle time and instructions per cycle. Our study covers two aspects. First, we introduce banking tag RAM, which comprises the issue queue, to reduce the delay. Unlike normal RAM, this is not straightforward, because of the uniqueness of the issue queue organization. Second, we explore and identify the correct critical path in the issue queue. In a previous study, the critical path of each component in the issue queue was summed to obtain the issue queue delay, but this does not give the correct delay of the issue queue, because the critical paths of the components are not connected logically. In the evaluation assuming 32-nm LSI technology, we obtained the delays of issue queues with eight to 128 entries. The process of banking tag RAM and identifying the correct critical path reduces the delay by up to 20% and 23% for 4- and 8-issue widths, respectively, compared with not banking tag RAM and simply summing the critical path delay of each component.
AbstractList This paper evaluates the delay of the issue queue in a superscalar processor to aid microarchitectural design, where quick quantification of the complexity of the issue queue is needed to consider the tradeoff between clock cycle time and instructions per cycle. Our study covers two aspects. First, we introduce banking tag RAM, which comprises the issue queue, to reduce the delay. Unlike normal RAM, this is not straightforward, because of the uniqueness of the issue queue organization. Second, we explore and identify the correct critical path in the issue queue. In a previous study, the critical path of each component in the issue queue was summed to obtain the issue queue delay, but this does not give the correct delay of the issue queue, because the critical paths of the components are not connected logically. In the evaluation assuming 32-nm LSI technology, we obtained the delays of issue queues with eight to 128 entries. The process of banking tag RAM and identifying the correct critical path reduces the delay by up to 20% and 23% for 4- and 8-issue widths, respectively, compared with not banking tag RAM and simply summing the critical path delay of each component.
Author ANDO, Hideki
KORA, Yuya
YAMAGUCHI, Kyohei
Author_xml – sequence: 1
  fullname: YAMAGUCHI, Kyohei
  organization: Department of Electrical Engineering and Computer Science, Nagoya University
– sequence: 2
  fullname: KORA, Yuya
  organization: Department of Computational Science and Engineering, Nagoya University
– sequence: 3
  fullname: ANDO, Hideki
  organization: Department of Electrical Engineering and Computer Science, Nagoya University
BackLink http://pascal-francis.inist.fr/vibad/index.php?action=getRecordDetail&idt=26331790$$DView record in Pascal Francis
BookMark eNpdkFFPwjAUhRuDiYD-Al_64uOwXddue8SBSoIRFZ-Xm66F4uxIu0n495agxPhyb3LznXNzzgD1bGMVQteUjCjP0tvWgfXG6tE056PJKI4ZP0N9miY8okzQHuqTnIoo4yy-QAPvN4TQLKa8j3YTVcMeT7-g7qA1jcWNxjPvO4VfOhWmsfit2yrnJdTg8MI1UnnfOI93pl3jO7Afxq7wElb4dfyEwVa4aJxTssWFM60JMryAQM4qZVujw-Hw5hKda6i9uvrZQ_R-P10Wj9H8-WFWjOeRTIhoI0k5ZBlPUqYSnfBEEMoZF4lOVaU5iSXNKkaZpCJPSKU0YynRqaZCxFpwodkQsaOvdI33Tuly68wnuH1JSXnorvztrgzdlZPy0F1Q3RxVWzjk1gGRxp-ksWCMpjkJ3OzIbXwLK3UCwIXgtfrvnf_5cWLkGlypLPsGVeyOxA
Cites_doi 10.1145/384286.264201
10.1109/40.755465
10.1111/j.1545-5300.1998.00167.x
10.1145/264107.264201
10.1145/1250662.1250704
10.1109/40.491460
10.1109/ICCD.2011.6081417
ContentType Journal Article
Copyright 2012 The Institute of Electronics, Information and Communication Engineers
2015 INIST-CNRS
Copyright_xml – notice: 2012 The Institute of Electronics, Information and Communication Engineers
– notice: 2015 INIST-CNRS
DBID IQODW
AAYXX
CITATION
DOI 10.1587/transinf.E95.D.2235
DatabaseName Pascal-Francis
CrossRef
DatabaseTitle CrossRef
DatabaseTitleList
DeliveryMethod fulltext_linktorsrc
Discipline Engineering
Computer Science
Applied Sciences
EISSN 1745-1361
EndPage 2246
ExternalDocumentID 10_1587_transinf_E95_D_2235
26331790
article_transinf_E95_D_9_E95_D_2235_article_char_en
GroupedDBID -~X
5GY
ABQTQ
ABZEH
ACGFS
ADNWM
AENEX
ALMA_UNASSIGNED_HOLDINGS
CS3
DU5
EBS
EJD
F5P
ICE
JSF
JSH
KQ8
OK1
P2P
RJT
RZJ
TN5
TQK
ZKX
1TH
ABTAH
AFFNX
C1A
CKLRP
H13
IQODW
RIG
RYL
VOH
ZE2
ZY4
AAYXX
CITATION
ID FETCH-LOGICAL-c406t-c15a885473e4f45460153564f7edf502c18d313c16940def3370f7f1662f656f3
ISSN 0916-8532
IngestDate Fri Aug 23 02:38:57 EDT 2024
Sun Oct 22 16:07:14 EDT 2023
Wed Apr 05 03:03:53 EDT 2023
IsDoiOpenAccess true
IsOpenAccess true
IsPeerReviewed true
IsScholarly true
Issue 9
Keywords LSI circuit
Random access memory
Superscalar processor
Clock
delay complexity
Non volatile memory
Integrated circuit
issue queue
Critical path
Delay time
Microprocessor
Queue
Execution time
Language English
License CC BY 4.0
LinkModel OpenURL
MergedId FETCHMERGED-LOGICAL-c406t-c15a885473e4f45460153564f7edf502c18d313c16940def3370f7f1662f656f3
OpenAccessLink https://www.jstage.jst.go.jp/article/transinf/E95.D/9/E95.D_2235/_article/-char/en
PageCount 12
ParticipantIDs crossref_primary_10_1587_transinf_E95_D_2235
pascalfrancis_primary_26331790
jstage_primary_article_transinf_E95_D_9_E95_D_2235_article_char_en
PublicationCentury 2000
PublicationDate 2012-00-00
PublicationDateYYYYMMDD 2012-01-01
PublicationDate_xml – year: 2012
  text: 2012-00-00
PublicationDecade 2010
PublicationPlace Oxford
PublicationPlace_xml – name: Oxford
PublicationTitle IEICE Transactions on Information and Systems
PublicationTitleAlternate IEICE Trans. Inf. & Syst.
PublicationYear 2012
Publisher The Institute of Electronics, Information and Communication Engineers
Oxford University Press
Publisher_xml – name: The Institute of Electronics, Information and Communication Engineers
– name: Oxford University Press
References [18] http://www.eas.asu.edu/~ptm/.
[2] S. Palacharla, N.P. Jouppi, and J.E. Smith, “Quantifying the complexity of superscalar processors,” Tech. Rep. CS-TR-1996-1328, University Wisconsin, Nov. 1996.
[3] M. Goshima, K. Nishino, Y. Nakashima, S. Mori, T. Kitamura, and S. Tomita, “A high-speed dynamic instruction scheduling scheme for superscalar processors,” Proc. 34th Annual International Symposium on Microarchitecture, pp.225-236, Dec. 2001.
[7] M.D. Brown, J. Stark, and Y.N. Patt, “Select-free instruction scheduling logic,” Proc. 34th Annual International Symposium on Microarchitecture, pp.204-213, Dec. 2001.
[12] K.C. Yeager, “The MIPS R10000 superscalar microprocessor,” IEEE Micro, vol.16, no.2, pp.28-40, April 1996.
[5] K. Yamaguchi, Y. Kora, and H. Ando, “Banking tag RAM of issue queue and evaluation of correct critical path delay,” IPSJ SIG Technical Report, vol.2011-ARC-196, no.17, July 2011.
[8] E. Brekelbaum, J. Rupley, C. Wilkerson, and B. Black, “Hierarchical scheduling windows,” Proc. 35th Annual International Symposium on Microarchitecture, pp.27-36, Nov. 2002.
[17] W. Zhao and Y. Cao, “New generation of predictive technology model for sub-45nm design exploration,” Proc. 7th International Symposium on Quality Electronic Design, pp.585-590, March 2006.
[4] Y. Kora and H. Ando, “Evaluation of issue queue delay,” Proc. 2010 Symposium on Advanced Computing Systems and Infrastructures, pp.45-52, May 2010.
[19] International Technology Roadmap for Semiconductors, 2010 update (http://www.itrs.net/).
[15] P.G. Sassone, J.R. II, E. Brekelbaum, G.H. Loh, and B. Black, “Matrix scheduler reloaded,” Proc. 34th Annual International Symposium on Computer Architecture, pp.335-346, June 2007.
[14] Intel, P6 Family of Processors-Hardware Developer's Manual, Sept. 1998.
[16] http://www.mosis.com/.
[13] R.E. Kessler, “The Alpha 21264 microprocessor,” IEEE Micro, vol.19, no.2, pp.24-36, March 1999.
[1] L. Gwennap, “Sandy Bridge spans generations,” Microprocessor Report, 9/27/10-01, 2010.
[11] M. Goshima, Research on high-speed instruction scheduling logic for out-of-order ILP processors, Ph.D. thesis, Kyoto University, 2004.
[10] L. Gwennap, “AMD Bulldozer plows new ground,” Microprocessor Report, 8/30/10-01, 2010.
[9] S. Palacharla, N.P. Jouppi, and J.E. Smith, “Complexity-effective superscalar processors,” Proc. 24th Annual International Symposium on Computer Architecture, pp.206-218, June 1997.
[6] K. Yamaguchi, Y. Kora, and H. Ando, “Evaluation of issue queue delay: Banking tag RAM and identifying correct critical path,” Proc. 29th International Conference on Computer Design, pp.313-319, Oct. 2011.
11
12
13
14
15
16
17
18
19
1
2
3
4
5
6
7
8
9
10
References_xml – ident: 9
  doi: 10.1145/384286.264201
– ident: 17
– ident: 3
– ident: 18
– ident: 5
– ident: 4
– ident: 13
  doi: 10.1109/40.755465
– ident: 1
– ident: 11
– ident: 10
– ident: 19
– ident: 16
– ident: 14
  doi: 10.1111/j.1545-5300.1998.00167.x
– ident: 2
  doi: 10.1145/264107.264201
– ident: 7
– ident: 8
– ident: 15
  doi: 10.1145/1250662.1250704
– ident: 12
  doi: 10.1109/40.491460
– ident: 6
  doi: 10.1109/ICCD.2011.6081417
SSID ssj0018215
Score 1.9387813
Snippet This paper evaluates the delay of the issue queue in a superscalar processor to aid microarchitectural design, where quick quantification of the complexity of...
SourceID crossref
pascalfrancis
jstage
SourceType Aggregation Database
Index Database
Publisher
StartPage 2235
SubjectTerms Applied sciences
complexity
delay
Design. Technologies. Operation analysis. Testing
Electronics
Exact sciences and technology
Integrated circuits
Integrated circuits by function (including memories and processors)
issue queue
microprocessor
Semiconductor electronics. Microelectronics. Optoelectronics. Solid state devices
superscalar processor
Title Delay Evaluation of Issue Queue in Superscalar Processors with Banking Tag RAM and Correct Critical Path Identification
URI https://www.jstage.jst.go.jp/article/transinf/E95.D/9/E95.D_2235/_article/-char/en
Volume E95.D
hasFullText 1
inHoldings 1
isFullTextHit
isPrint
ispartofPNX IEICE Transactions on Information and Systems, 2012/09/01, Vol.E95.D(9), pp.2235-2246
link http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnV1bb9MwFLbK4AGEuIwhymXyA28hpU7iXB67tqMFbWOjlfYWpY4NBdRWWyJU_hX_kONb6k6VuLxElWUnar4v5xxfzvkQek2DKJkFgvuEpdyPWJH6EBxRPyoFBPssjlksc4dPTuPRNHp_SS9brV_OqaW6mnXYz515Jf-DKrQBrjJL9h-QbW4KDfAb8IUrIAzXv8J4wL8Xa2_YFOxWeSHyRXrnNa9VOZBP9QoCPACiuLJJAVJeRy2_HhVKN8GbFJ-9i96J2kfoS7UOVnmNBMJHCBE9nc4rzPqeG9COh-P-UApNWNVxtf1gyrFW9qzztVMXXZoYsPTvpv3RWJmZ9fILnzeG_-yip9xCvW4cRu90cKZc5Lzk3-buOgVx5rO7Mx_dpUgS-xA26CFcG-Ikoj4JdaF2a6m1HKdhZOaa3UDXPDEuXBbJ2-keqFxgOVbvBNo7w4x2Bp3NYLcY9w0n2RxdDOIwlFXNbqHbQZJRKdfw4XyzcZUGWjTD_iVT6Aoe_HbHY7eCoTtfYT4gCz3cXxWSF0IrqzjhzuQRemDmKbinSfcYtfhiHz00cxZsPMI1NFlZENu2j-45NS6foB-KpHhDUrwUWJEUK5Li-QI7JMUbkmJJUmxIioGkGEiKgU7YkBRbkmJJUrxN0gM0PR5O-iPfqH34DILKymeEFmkqpbB5JCIaxRCohjSORMJLQbsBI2kZkpCROIu6JRdhmHRFIkgcBwImJSJ8ivYWywV_hnCaFklYsoywkEREkJlgGS27cgylM1620Rv72vOVLuqSy8kwoJRblHJAKR_kEqU2OtLQNJ3NF3-zc-YMavrI7EkwVm10uAVrcy9LqOd_6vAC3ZXflV7_e4n2qquav4KIuJodKg7-Bog5vbc
link.rule.ids 315,786,790,4043,27954,27955,27956
linkProvider Colorado Alliance of Research Libraries
openUrl ctx_ver=Z39.88-2004&ctx_enc=info%3Aofi%2Fenc%3AUTF-8&rfr_id=info%3Asid%2Fsummon.serialssolutions.com&rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&rft.genre=article&rft.atitle=Delay+Evaluation+of+Issue+Queue+in+Superscalar+Processors+with+Banking+Tag+RAM+and+Correct+Critical+Path+Identification&rft.jtitle=IEICE+transactions+on+information+and+systems&rft.au=YAMAGUCHI%2C+Kyohei&rft.au=KORA%2C+Yuya&rft.au=ANDO%2C+Hideki&rft.date=2012&rft.pub=Oxford+University+Press&rft.issn=0916-8532&rft.eissn=1745-1361&rft.volume=95&rft.issue=9&rft.spage=2235&rft.epage=2246&rft_id=info:doi/10.1587%2Ftransinf.E95.D.2235&rft.externalDBID=n%2Fa&rft.externalDocID=26331790
thumbnail_l http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/lc.gif&issn=0916-8532&client=summon
thumbnail_m http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/mc.gif&issn=0916-8532&client=summon
thumbnail_s http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/sc.gif&issn=0916-8532&client=summon