Improvement of via dishing and non-uniformity in TSV chemical mechanical planarization
Despite the fact that substantial research has been conducted on the through-silicon via (TSV) formation process during three-dimensional integration, there is still less understanding on the chemical mechanical planarization (CMP) process and post-CMP via dishing control mechanism in TSV fabricatio...
Saved in:
Published in | Microelectronic engineering Vol. 151; pp. 38 - 46 |
---|---|
Main Authors | , , , , |
Format | Journal Article |
Language | English |
Published |
Elsevier B.V
05.02.2016
|
Subjects | |
Online Access | Get full text |
ISSN | 0167-9317 1873-5568 |
DOI | 10.1016/j.mee.2015.12.004 |
Cover
Loading…
Abstract | Despite the fact that substantial research has been conducted on the through-silicon via (TSV) formation process during three-dimensional integration, there is still less understanding on the chemical mechanical planarization (CMP) process and post-CMP via dishing control mechanism in TSV fabrication. In this study, we investigated the synergistic effect of mechanical and chemical factors on the CMP performance of TSV, and analyzed the experimental results from different aspects. A high-resolution surface-profile-measuring instrument, combined with Scanning Electron Microscopy (SEM) and other measurements, was used to demonstrate the mechanism of non-uniformity and via dishing, and the experimental results indicate that via dishing and non-uniformity of wafers are strongly mitigated by combining high down force with low down force. Based on numerical analysis, it can be concluded that the solution of the via protrusion issue requires a sufficiently high hydrogen peroxide concentration in order to modify the removal rate selectivity of copper and barrier/oxide. The polishing time should be strictly controlled to prevent oversize dishing or protrusion. Moreover, material removal models for via dishing, based on several factors mentioned above, were established to elucidate the mechanism of via dishing/protrusion formation, and to illustrate the further optimization of the TSV–CMP process.
[Display omitted]
•The synergistic effect of mechanical and chemical factors on the CMP performance of TSV was investigated.•Down force and polishing time should be strictly controlled to guarantee high removal rate and low dishing.•A sufficiently high H2O2 concentration is required to prevent via protrusion by modifying the selectivity of Cu/Ti/TEOS.•Material removal models were established to elucidate the mechanism of via dishing/protrusion formation. |
---|---|
AbstractList | Despite the fact that substantial research has been conducted on the through-silicon via (TSV) formation process during three-dimensional integration, there is still less understanding on the chemical mechanical planarization (CMP) process and post-CMP via dishing control mechanism in TSV fabrication. In this study, we investigated the synergistic effect of mechanical and chemical factors on the CMP performance of TSV, and analyzed the experimental results from different aspects. A high-resolution surface-profile-measuring instrument, combined with Scanning Electron Microscopy (SEM) and other measurements, was used to demonstrate the mechanism of non-uniformity and via dishing, and the experimental results indicate that via dishing and non-uniformity of wafers are strongly mitigated by combining high down force with low down force. Based on numerical analysis, it can be concluded that the solution of the via protrusion issue requires a sufficiently high hydrogen peroxide concentration in order to modify the removal rate selectivity of copper and barrier/oxide. The polishing time should be strictly controlled to prevent oversize dishing or protrusion. Moreover, material removal models for via dishing, based on several factors mentioned above, were established to elucidate the mechanism of via dishing/protrusion formation, and to illustrate the further optimization of the TSV-CMP process. Despite the fact that substantial research has been conducted on the through-silicon via (TSV) formation process during three-dimensional integration, there is still less understanding on the chemical mechanical planarization (CMP) process and post-CMP via dishing control mechanism in TSV fabrication. In this study, we investigated the synergistic effect of mechanical and chemical factors on the CMP performance of TSV, and analyzed the experimental results from different aspects. A high-resolution surface-profile-measuring instrument, combined with Scanning Electron Microscopy (SEM) and other measurements, was used to demonstrate the mechanism of non-uniformity and via dishing, and the experimental results indicate that via dishing and non-uniformity of wafers are strongly mitigated by combining high down force with low down force. Based on numerical analysis, it can be concluded that the solution of the via protrusion issue requires a sufficiently high hydrogen peroxide concentration in order to modify the removal rate selectivity of copper and barrier/oxide. The polishing time should be strictly controlled to prevent oversize dishing or protrusion. Moreover, material removal models for via dishing, based on several factors mentioned above, were established to elucidate the mechanism of via dishing/protrusion formation, and to illustrate the further optimization of the TSV–CMP process. [Display omitted] •The synergistic effect of mechanical and chemical factors on the CMP performance of TSV was investigated.•Down force and polishing time should be strictly controlled to guarantee high removal rate and low dishing.•A sufficiently high H2O2 concentration is required to prevent via protrusion by modifying the selectivity of Cu/Ti/TEOS.•Material removal models were established to elucidate the mechanism of via dishing/protrusion formation. |
Author | Lu, Xinchun Wang, Tongqing Rao, Can Wang, Jie Liu, Yuhong |
Author_xml | – sequence: 1 givenname: Can surname: Rao fullname: Rao, Can email: raoc13@mails.tsinghua.edu.cn – sequence: 2 givenname: Tongqing surname: Wang fullname: Wang, Tongqing email: wtq@tsinghua.edu.cn – sequence: 3 givenname: Jie surname: Wang fullname: Wang, Jie email: jie-wang11@mails.tsinghua.edu.cn – sequence: 4 givenname: Yuhong surname: Liu fullname: Liu, Yuhong email: liuyuhong@tsinghua.edu.cn – sequence: 5 givenname: Xinchun surname: Lu fullname: Lu, Xinchun email: xclu@tsinghua.edu.cn |
BookMark | eNp9kD1PwzAQhi0EEm3hB7B5ZEmwndhOxIQQH5WQGPhYLde50KsSp9hppfLrcSkTA9PdSe9zd-87Jcd-8EDIBWc5Z1xdrfIeIBeMy5yLnLHyiEx4pYtMSlUdk0nS6KwuuD4l0xhXLM0lqybkfd6vw7CFHvxIh5Zu0dIG4xL9B7W-oelMtvHYDqHHcUfR09eXd-qW0KOzHe3BLa3_aded9Tbglx1x8GfkpLVdhPPfOiNv93evt4_Z0_PD_PbmKXNFrcZMtq5krnZKNcLVQiiQVpcalNXKlbxuBNOy5dpWi0UjS8ehKJiulNbFQhbKFjNyedibTHxuII6mx-igS7_AsImGV0KWFRO1TFJ-kLowxBigNeuAvQ07w5nZZ2hWJmVo9hkaLkzKMDH6D-Nw_DE4Bovdv-T1gYTkfosQTHQI3kGDAdxomgH_ob8B2XmN4g |
CitedBy_id | crossref_primary_10_1088_1361_6439_ad38ca crossref_primary_10_1016_j_engfracmech_2019_01_030 crossref_primary_10_1088_1361_6439_aae8da crossref_primary_10_1088_1674_4926_39_2_026002 crossref_primary_10_7567_JJAP_57_04FC01 crossref_primary_10_3390_ma16247652 crossref_primary_10_1016_j_apsusc_2017_05_128 crossref_primary_10_1088_2053_1591_3_9_095012 crossref_primary_10_1109_TSM_2019_2937004 crossref_primary_10_1016_j_mee_2019_03_009 crossref_primary_10_1016_j_apsusc_2017_02_027 |
Cites_doi | 10.1016/j.apsusc.2008.10.040 10.1149/1.1738472 10.1109/TVLSI.2009.2038165 10.1109/TADVP.2009.2021661 10.1109/TCPMT.2011.2177663 10.1016/j.electacta.2004.05.008 10.1149/1.1795256 10.1149/2.005406jss 10.1016/j.mee.2011.03.004 10.1016/j.mee.2010.06.026 10.1149/2.023309jss 10.1109/TED.2009.2034508 10.1109/JPROC.2008.2007462 10.1109/TDMR.2009.2034317 10.1109/MC.2003.1250885 10.1149/2.0171410jss 10.1007/s11249-012-0037-2 10.1016/j.mee.2011.01.082 10.1149/1.1648029 10.1149/1.3522811 10.1109/TVLSI.2012.2201760 10.1149/2.022203jss 10.1149/1.2745647 |
ContentType | Journal Article |
Copyright | 2015 Elsevier B.V. |
Copyright_xml | – notice: 2015 Elsevier B.V. |
DBID | AAYXX CITATION 7SP 8FD H8G JG9 L7M |
DOI | 10.1016/j.mee.2015.12.004 |
DatabaseName | CrossRef Electronics & Communications Abstracts Technology Research Database Copper Technical Reference Library Materials Research Database Advanced Technologies Database with Aerospace |
DatabaseTitle | CrossRef Materials Research Database Copper Technical Reference Library Technology Research Database Advanced Technologies Database with Aerospace Electronics & Communications Abstracts |
DatabaseTitleList | Materials Research Database |
DeliveryMethod | fulltext_linktorsrc |
Discipline | Engineering |
EISSN | 1873-5568 |
EndPage | 46 |
ExternalDocumentID | 10_1016_j_mee_2015_12_004 S0167931715301064 |
GroupedDBID | --K --M .~1 0R~ 123 1B1 1RT 1~. 1~5 4.4 457 4G. 5VS 7-5 71M 8P~ 9JN AABNK AABXZ AACTN AAEDT AAEDW AAEPC AAIAV AAIKJ AAKOC AALRI AAOAW AAQFI AAXUO AAYFN ABBOA ABFNM ABFRF ABJNI ABMAC ABNEU ABXRA ABYKQ ACDAQ ACFVG ACGFO ACGFS ACRLP ACZNC ADBBV ADEZE ADTZH AEBSH AECPX AEFWE AEKER AENEX AEZYN AFKWA AFRZQ AFTJW AGHFR AGUBO AGYEJ AHHHB AHJVU AHZHX AIALX AIEXJ AIKHN AITUG AIVDX AJBFU AJOXV ALMA_UNASSIGNED_HOLDINGS AMFUW AMRAJ AOUOD AXJTR BJAXD BKOJK BLXMC CS3 DU5 EBS EFJIC EFLBG EJD EO8 EO9 EP2 EP3 FDB FIRID FNPLU FYGXN G-Q GBLVA GBOLZ IHE J1W JJJVA KOM LG9 LY7 M24 M38 M41 MAGPM MO0 N9A O-L O9- OAUVE OGIMB OZT P-8 P-9 P2P PC. Q38 RIG RNS ROL RPZ SDF SDG SDP SES SPC SPCBC SPD SSM SSQ SST SSV SSZ T5K ZMT ~G- 29M AAQXK AATTM AAXKI AAYWO AAYXX ABDPE ABWVN ABXDB ACNNM ACRPL ACVFH ADCNI ADJOM ADMUD ADNMO AEIPS AEUPX AFFNX AFJKZ AFPUW AFXIZ AGCQF AGQPQ AGRNS AIGII AIIUN AKBMS AKRWK AKYEP ANKPU APXCP ASPBG AVWKF AZFZN BBWZM BNPGV CITATION F0J FEDTE FGOYB G-2 HLZ HMV HVGLF HX~ HZ~ NDZJH R2- SBC SET SEW SMS SPG SSH UHS WUQ 7SP 8FD H8G JG9 L7M |
ID | FETCH-LOGICAL-c396t-5fc40c9c66d2c9226e5a747e6a76c419d2075f17a8bbd54c1e330786773b536a3 |
IEDL.DBID | .~1 |
ISSN | 0167-9317 |
IngestDate | Fri Jul 11 04:34:06 EDT 2025 Tue Jul 01 02:35:24 EDT 2025 Thu Apr 24 22:53:21 EDT 2025 Fri Feb 23 02:21:17 EST 2024 |
IsPeerReviewed | true |
IsScholarly | true |
Keywords | Through-silicon via Chemical mechanical planarization Non-uniformity Via dishing |
Language | English |
LinkModel | DirectLink |
MergedId | FETCHMERGED-LOGICAL-c396t-5fc40c9c66d2c9226e5a747e6a76c419d2075f17a8bbd54c1e330786773b536a3 |
Notes | ObjectType-Article-1 SourceType-Scholarly Journals-1 ObjectType-Feature-2 content type line 23 |
PQID | 1825480295 |
PQPubID | 23500 |
PageCount | 9 |
ParticipantIDs | proquest_miscellaneous_1825480295 crossref_primary_10_1016_j_mee_2015_12_004 crossref_citationtrail_10_1016_j_mee_2015_12_004 elsevier_sciencedirect_doi_10_1016_j_mee_2015_12_004 |
ProviderPackageCode | CITATION AAYXX |
PublicationCentury | 2000 |
PublicationDate | 2016-02-05 |
PublicationDateYYYYMMDD | 2016-02-05 |
PublicationDate_xml | – month: 02 year: 2016 text: 2016-02-05 day: 05 |
PublicationDecade | 2010 |
PublicationTitle | Microelectronic engineering |
PublicationYear | 2016 |
Publisher | Elsevier B.V |
Publisher_xml | – name: Elsevier B.V |
References | Diehl, Kitada, Maeda, Fujimoto, Ramaswami, Sirajuddin, Yalamanchili, Eaton, Rajagopalan, Ding (bb0035) 2012; 92 Choi, Lee, Singh (bb0175) 2004; 7 Li, Liu, Lu, Luo, Dai (bb0170) 2013; 49 Olmen, Huyghebaert, Coenen, Aelst, Sleeckx, Ammel, Armini, Katti, Vaes, Dehaene, Beyne, Travaly (bb0100) 2011; 88 Banijamali, Chiu, Hsieh, Lin, Hu, Hou, Ramalingam, Jeng, Madden, Yu (bb0130) 2013 Kim, Kwon, Kang, Kim (bb0190) 2011; 158 Yu (bb0020) 2006 Motoyoshi (bb0080) 2009; 97 Olmen, Mercha, Katti, Huyghebaert, Aelst, Seppala, Chao, Armini, Vaes, Teixeira, Cauwenberghe, Verdonck, Verhemeldonck, Jourdain, Ruythooren, de Potter de ten Broeck, Opdebeeck, Chiarella, Parvais, Debusschere, Hoffmann, de Wachter, Dehaene, Stucchi, Rakowski, Soussan, Cartuyvels, Beyne, Biesemans, Swinnen (bb0085) 2008 Selvanayagam, Lau, Zhang, Seah, Vaidyanathan, Chai (bb0015) 2009; 32 Malta, Gregory, Temple, Knutson, Wang, Richardson, Zhang, Rhoades (bb0135) 2010 Khan, Alam, Hassoun (bb0005) 2011; 19 Kang, Chung, Heo, Ahn, Lee, Cha, Ahn, Kwon, Kim, Lee, Joo, Kim, Kim, Lee, Kim, Ma, Jang, Kim, Choi, Oh, Lee, Jung, Yoo, Kim (bb0050) 2009 Du, Vijayakumar, Desai (bb0155) 2004; 49 Karmarkar, Xu, Moroz (bb0055) 2009 Amagai, Suzuki (bb0125) 2010 Philipsen, Vandersmissen, Cockburn, Erickson, Drijbooms, Moussa, Bender, Struyfa (bb0075) 2014; 3 Ramm, Wolf, Klumpp, Wieland, Wunderle, Michel, Reichl (bb0030) 2008 Ramaswami, Dukovic, Eaton, Pamarthy, Bhatnagar, Cao, Sapre, Wang, Kumar (bb0110) 2009; 9 Kim, Athikulwongse, Lim (bb0025) 2013; 21 Beyne, De Moor, Ruythooren, Labie, Jourdain, Tilmans, Tezcan, Soussan, Swinnen, Cartuyvels (bb0060) 2008 Chen, Lau, Tzeng, Chen, Wu, Chen, Hsin, Hsu, Shen, Lian, Ho, Lin, Ku, Kao (bb0140) 2012; 2 Takahashi, Sekiguchi (bb0090) 2006 Rao, Wee, Vincent, Yu, Ebin, Nagarajan, Chong, Zhang, Damaruganath (bb0105) 2009 Wang, Shen, Ou, Lu (bb0145) 2011 Katti, Stucchi, De Meyer, Dehaene (bb0045) 2010; 57 Beica, Sharbono, Ritzdorf (bb0065) 2008 Peng, Wu, Fang, Toyoda, Akahane, Yamaji, Sumida, Sin (bb0120) 2014; 3 Kim, Austin, Blaauw, Mudge, Flautner, Hu, Irwin, Kandemir, Narayanan (bb0010) 2003; 36 Kanki, Kimura, Nakamura (bb0150) 2013; 2 Smith, Wren, Odziemkowski, Shoesmith (bb0185) 2007; 154 Manivannan, Ramanathan (bb0165) 2009; 255 Tsai, Tsao, Lin, Hsu, Lin, Hsu, Lin, Huang, Wu (bb0095) 2012; 92 Zhang, Chai, Lau, Selvanayagam, Biswas, Liu, Pinjala, Tang, Ong, Vempati, Wai, Li, Liao, Ranganathan, Kripesh, Sun, Doricko, Vath (bb0070) 2009 Dixit, Vahanen, Salonen, Monnoyer (bb0115) 2012; 1 Du, Tamboli, Desai, Seal (bb0160) 2004; 151 Lu, Garland, Pettit, Babu, Roy (bb0180) 2004; 151 Cho, Shim, Song, Pak, Lee, Lee, Park, Kim (bb0040) 2009 Olmen (10.1016/j.mee.2015.12.004_bb0085) 2008 Selvanayagam (10.1016/j.mee.2015.12.004_bb0015) 2009; 32 Katti (10.1016/j.mee.2015.12.004_bb0045) 2010; 57 Zhang (10.1016/j.mee.2015.12.004_bb0070) 2009 Du (10.1016/j.mee.2015.12.004_bb0155) 2004; 49 Chen (10.1016/j.mee.2015.12.004_bb0140) 2012; 2 Tsai (10.1016/j.mee.2015.12.004_bb0095) 2012; 92 Du (10.1016/j.mee.2015.12.004_bb0160) 2004; 151 Lu (10.1016/j.mee.2015.12.004_bb0180) 2004; 151 Philipsen (10.1016/j.mee.2015.12.004_bb0075) 2014; 3 Olmen (10.1016/j.mee.2015.12.004_bb0100) 2011; 88 Rao (10.1016/j.mee.2015.12.004_bb0105) 2009 Amagai (10.1016/j.mee.2015.12.004_bb0125) 2010 Khan (10.1016/j.mee.2015.12.004_bb0005) 2011; 19 Li (10.1016/j.mee.2015.12.004_bb0170) 2013; 49 Beyne (10.1016/j.mee.2015.12.004_bb0060) 2008 Ramaswami (10.1016/j.mee.2015.12.004_bb0110) 2009; 9 Ramm (10.1016/j.mee.2015.12.004_bb0030) 2008 Kim (10.1016/j.mee.2015.12.004_bb0010) 2003; 36 Karmarkar (10.1016/j.mee.2015.12.004_bb0055) 2009 Dixit (10.1016/j.mee.2015.12.004_bb0115) 2012; 1 Yu (10.1016/j.mee.2015.12.004_bb0020) 2006 Cho (10.1016/j.mee.2015.12.004_bb0040) 2009 Peng (10.1016/j.mee.2015.12.004_bb0120) 2014; 3 Kim (10.1016/j.mee.2015.12.004_bb0025) 2013; 21 Beica (10.1016/j.mee.2015.12.004_bb0065) 2008 Kim (10.1016/j.mee.2015.12.004_bb0190) 2011; 158 Kanki (10.1016/j.mee.2015.12.004_bb0150) 2013; 2 Takahashi (10.1016/j.mee.2015.12.004_bb0090) 2006 Motoyoshi (10.1016/j.mee.2015.12.004_bb0080) 2009; 97 Banijamali (10.1016/j.mee.2015.12.004_bb0130) 2013 Wang (10.1016/j.mee.2015.12.004_bb0145) 2011 Diehl (10.1016/j.mee.2015.12.004_bb0035) 2012; 92 Manivannan (10.1016/j.mee.2015.12.004_bb0165) 2009; 255 Kang (10.1016/j.mee.2015.12.004_bb0050) 2009 Choi (10.1016/j.mee.2015.12.004_bb0175) 2004; 7 Malta (10.1016/j.mee.2015.12.004_bb0135) 2010 Smith (10.1016/j.mee.2015.12.004_bb0185) 2007; 154 |
References_xml | – volume: 92 start-page: 29 year: 2012 end-page: 33 ident: bb0095 article-title: CMP process development for the via-middle 3D TSV applications at 28 publication-title: Microelectron. Eng. – start-page: 682 year: 2009 end-page: 687 ident: bb0055 article-title: Performance and reliability analysis of 3D-integration structures employing Through Silicon Via (TSV) publication-title: 2009 IEEE International Reliability Physics Symposium – volume: 49 start-page: 11 year: 2013 end-page: 19 ident: bb0170 article-title: Material removal mechanism of copper CMP from a chemical–mechanical synergy perspective publication-title: Tribol. Lett. – start-page: 1273 year: 2010 end-page: 1280 ident: bb0125 article-title: TSV stress testing and modeling publication-title: 2010 IEEE 60th Electronic Components and Technology Conference – start-page: 35 year: 2013 end-page: 40 ident: bb0130 article-title: Reliability evaluation of a CoWoS-enabled 3D IC package publication-title: 2013 Electronic Components & Technology Conference – start-page: 305 year: 2009 end-page: 312 ident: bb0070 article-title: Development of through silicon via (TSV) interposer technology for large die (21 publication-title: 2009 IEEE 59th Electronic Components and Technology Conference – start-page: 15 year: 2006 end-page: 20 ident: bb0020 article-title: The 3rd dimension-more life for Moore's law publication-title: 2006 International Microsystems, Packaging, Assembly Conference Taiwan (IMPACT), Proceedings – start-page: 1 year: 2008 end-page: 4 ident: bb0060 article-title: Through-silicon via and die stacking technologies for microsystems-integration publication-title: IEDM 2008. IEEE International Electron Devices Meeting – volume: 151 start-page: G230 year: 2004 end-page: G235 ident: bb0160 article-title: Mechanism of copper removal during CMP in acidic H2O2 slurry publication-title: J. Electrochem. Soc. – volume: 1 start-page: P107 year: 2012 end-page: P116 ident: bb0115 article-title: Effect of process gases on fabricating tapered through-silicon vias by continuous SF publication-title: ECS J. Solid State Sci. Technol. – volume: 21 start-page: 862 year: 2013 end-page: 874 ident: bb0025 article-title: Study of through-silicon-Via impact on the 3D stacked IC layout publication-title: IEEE Trans. Very Large Scale Integr (VLSI) Syst. – volume: 2 start-page: P375 year: 2013 end-page: P379 ident: bb0150 article-title: Chemical and mechanical properties of Cu surface reaction layers in Cu-CMP to improve planarization publication-title: ECS J. Solid State Sci. Technol. – volume: 32 start-page: 720 year: 2009 end-page: 728 ident: bb0015 article-title: Nonlinear thermal stress/strain analyses of copper filled TSV (through silicon Via) and their flip-chip microbumps publication-title: IEEE Trans. Adv. Packag. – volume: 2 start-page: 956 year: 2012 end-page: 963 ident: bb0140 article-title: Effects of slurry in Cu chemical mechanical polishing (CMP) of TSVs for 3-D IC integration publication-title: IEEE Trans. Comp. Packag. Manuf. Technol. – volume: 92 start-page: 3 year: 2012 end-page: 8 ident: bb0035 article-title: Formation of TSV for the stacking of advanced logic devices utilizing bumpless wafer-on-wafer technology publication-title: Microelectron. Eng. – volume: 88 start-page: 745 year: 2011 end-page: 748 ident: bb0100 article-title: Integration challenges of copper through silicon Via (TSV) metallization for 3D-stacked IC integration publication-title: Microelectron. Eng. – volume: 7 start-page: G141 year: 2004 end-page: G144 ident: bb0175 article-title: pH and down load effects on silicon dioxide dielectric CMP publication-title: Electrochem. Solid-State Lett. – volume: 19 start-page: 647 year: 2011 end-page: 658 ident: bb0005 article-title: Power delivery design for 3-D ICs using different through-silicon Via (TSV) technologies publication-title: IEEE Trans. Very Large Scale Integr (VLSI) Syst. – volume: 158 start-page: H190 year: 2011 end-page: H196 ident: bb0190 article-title: Effects of the functional groups of complexing agents and Cu oxide formation on Cu dissolution behaviors in Cu CMP process publication-title: J. Electrochem. Soc. – volume: 57 start-page: 256 year: 2010 end-page: 262 ident: bb0045 article-title: Electrical modeling and characterization of through silicon via for three-dimensional ICs publication-title: IEEE Trans. Electron Devices – volume: 154 start-page: C431 year: 2007 end-page: C438 ident: bb0185 article-title: The electrochemical response of preoxidized copper in aqueous sulfide solutions publication-title: J. Electrochem. Soc. – volume: 3 start-page: Q207 year: 2014 end-page: Q211 ident: bb0120 article-title: Optimization of monolithic 3D TSV transformers for high-voltage digital isolators publication-title: ECS J. Solid State Sci. Technol. – volume: 9 start-page: 524 year: 2009 end-page: 528 ident: bb0110 article-title: Process integration considerations for 300 publication-title: IEEE Trans. Device Mater. Reliab. – start-page: 1 year: 2008 end-page: 4 ident: bb0085 article-title: 3D stacked IC demonstration using a through silicon via first approach. IEDM 2008 publication-title: IEEE International Electron Devices Meeting – volume: 3 start-page: Q109 year: 2014 end-page: Q119 ident: bb0075 article-title: Metrology for monitoring and detecting process issues in a TSV module publication-title: ECS J. Solid State Sci. Technol. – volume: 49 start-page: 4505 year: 2004 end-page: 4512 ident: bb0155 article-title: Effect of hydrogen peroxide on oxidation of copper in CMP slurries containing glycine and Cu ions publication-title: Electrochim. Acta. – start-page: 431 year: 2009 end-page: 437 ident: bb0105 article-title: TSV interposer fabrication for 3D IC packaging publication-title: 2009 11th Electronics Packaging Technology Conference – volume: 36 start-page: 68 year: 2003 end-page: 75 ident: bb0010 article-title: Leakage current: Moore's law meets static power publication-title: Computer – start-page: 101 year: 2011 end-page: 105 ident: bb0145 article-title: Effect of process parameters on material removal rate and within wafer non-uniformity in copper CMP publication-title: Proceedings of 2011 International Conference on Planarization/CMP Technology – volume: 97 start-page: 43 year: 2009 end-page: 48 ident: bb0080 article-title: Through-silicon via (TSV) publication-title: Proc. IEEE – volume: 255 start-page: 3764 year: 2009 end-page: 3768 ident: bb0165 article-title: The effect of hydrogen peroxide on polishing removal rate in CMP with various abrasives publication-title: Appl. Surf. Sci. – volume: 151 start-page: G717 year: 2004 end-page: G722 ident: bb0180 article-title: Relative roles of H publication-title: J. Electrochem. Soc. – start-page: 97 year: 2009 end-page: 100 ident: bb0040 article-title: Active circuit to through silicon via (TSV) noise coupling publication-title: Electrical Performance of Electronic Packaging and Systems. – start-page: 89 year: 2006 end-page: 92 ident: bb0090 article-title: Through silicon via and 3-D wafer/chip stacking technology publication-title: 2006 Symposium on VLSI Circuits Digest of Technical Papers – start-page: 130 year: 2009 end-page: 131 ident: bb0050 article-title: 8Gb 3D DDR3 DRAM using through-silicon-via technology. Solid-State Circuits Conference-Digest of Technical Papers, 2009. ISSCC 2009 publication-title: IEEE International – start-page: 1769 year: 2010 end-page: 1775 ident: bb0135 article-title: Integrated process for defect-free copper plating and chemical–mechanical polishing of through-silicon vias for 3D interconnects publication-title: 2010 IEEE 60th Electronic components and technology conference – start-page: 841 year: 2008 end-page: 846 ident: bb0030 article-title: Through silicon via technology — processes and reliability for wafer-level 3D system integration publication-title: 2008 58th Electronic Components and Technology Conference – start-page: 577 year: 2008 end-page: 583 ident: bb0065 article-title: Through silicon via copper electrodeposition for 3D integration publication-title: 2008 58th Electronic Components and Technology Conference – volume: 255 start-page: 3764 issue: 6 year: 2009 ident: 10.1016/j.mee.2015.12.004_bb0165 article-title: The effect of hydrogen peroxide on polishing removal rate in CMP with various abrasives publication-title: Appl. Surf. Sci. doi: 10.1016/j.apsusc.2008.10.040 – volume: 7 start-page: G141 issue: 7 year: 2004 ident: 10.1016/j.mee.2015.12.004_bb0175 article-title: pH and down load effects on silicon dioxide dielectric CMP publication-title: Electrochem. Solid-State Lett. doi: 10.1149/1.1738472 – volume: 19 start-page: 647 issue: 4 year: 2011 ident: 10.1016/j.mee.2015.12.004_bb0005 article-title: Power delivery design for 3-D ICs using different through-silicon Via (TSV) technologies publication-title: IEEE Trans. Very Large Scale Integr (VLSI) Syst. doi: 10.1109/TVLSI.2009.2038165 – volume: 32 start-page: 720 issue: 4 year: 2009 ident: 10.1016/j.mee.2015.12.004_bb0015 article-title: Nonlinear thermal stress/strain analyses of copper filled TSV (through silicon Via) and their flip-chip microbumps publication-title: IEEE Trans. Adv. Packag. doi: 10.1109/TADVP.2009.2021661 – start-page: 682 year: 2009 ident: 10.1016/j.mee.2015.12.004_bb0055 article-title: Performance and reliability analysis of 3D-integration structures employing Through Silicon Via (TSV) – volume: 2 start-page: 956 issue: 6 year: 2012 ident: 10.1016/j.mee.2015.12.004_bb0140 article-title: Effects of slurry in Cu chemical mechanical polishing (CMP) of TSVs for 3-D IC integration publication-title: IEEE Trans. Comp. Packag. Manuf. Technol. doi: 10.1109/TCPMT.2011.2177663 – volume: 49 start-page: 4505 issue: 25 year: 2004 ident: 10.1016/j.mee.2015.12.004_bb0155 article-title: Effect of hydrogen peroxide on oxidation of copper in CMP slurries containing glycine and Cu ions publication-title: Electrochim. Acta. doi: 10.1016/j.electacta.2004.05.008 – volume: 151 start-page: G717 issue: 10 year: 2004 ident: 10.1016/j.mee.2015.12.004_bb0180 article-title: Relative roles of H2O2 and glycine in CMP of copper studied with impedance spectroscopy publication-title: J. Electrochem. Soc. doi: 10.1149/1.1795256 – start-page: 89 year: 2006 ident: 10.1016/j.mee.2015.12.004_bb0090 article-title: Through silicon via and 3-D wafer/chip stacking technology – start-page: 97 year: 2009 ident: 10.1016/j.mee.2015.12.004_bb0040 article-title: Active circuit to through silicon via (TSV) noise coupling – start-page: 130 year: 2009 ident: 10.1016/j.mee.2015.12.004_bb0050 article-title: 8Gb 3D DDR3 DRAM using through-silicon-via technology. Solid-State Circuits Conference-Digest of Technical Papers, 2009. ISSCC 2009 publication-title: IEEE International – start-page: 35 year: 2013 ident: 10.1016/j.mee.2015.12.004_bb0130 article-title: Reliability evaluation of a CoWoS-enabled 3D IC package – start-page: 101 year: 2011 ident: 10.1016/j.mee.2015.12.004_bb0145 article-title: Effect of process parameters on material removal rate and within wafer non-uniformity in copper CMP – volume: 3 start-page: Q109 issue: 6 year: 2014 ident: 10.1016/j.mee.2015.12.004_bb0075 article-title: Metrology for monitoring and detecting process issues in a TSV module publication-title: ECS J. Solid State Sci. Technol. doi: 10.1149/2.005406jss – start-page: 431 year: 2009 ident: 10.1016/j.mee.2015.12.004_bb0105 article-title: TSV interposer fabrication for 3D IC packaging – start-page: 1 year: 2008 ident: 10.1016/j.mee.2015.12.004_bb0060 article-title: Through-silicon via and die stacking technologies for microsystems-integration – start-page: 305 year: 2009 ident: 10.1016/j.mee.2015.12.004_bb0070 article-title: Development of through silicon via (TSV) interposer technology for large die (21×21mm) fine-pitch Cu/low-k FCBGA package – volume: 92 start-page: 29 year: 2012 ident: 10.1016/j.mee.2015.12.004_bb0095 article-title: CMP process development for the via-middle 3D TSV applications at 28nm technology node publication-title: Microelectron. Eng. doi: 10.1016/j.mee.2011.03.004 – volume: 88 start-page: 745 issue: 5 year: 2011 ident: 10.1016/j.mee.2015.12.004_bb0100 article-title: Integration challenges of copper through silicon Via (TSV) metallization for 3D-stacked IC integration publication-title: Microelectron. Eng. doi: 10.1016/j.mee.2010.06.026 – start-page: 1 year: 2008 ident: 10.1016/j.mee.2015.12.004_bb0085 article-title: 3D stacked IC demonstration using a through silicon via first approach. IEDM 2008 – volume: 2 start-page: P375 issue: 9 year: 2013 ident: 10.1016/j.mee.2015.12.004_bb0150 article-title: Chemical and mechanical properties of Cu surface reaction layers in Cu-CMP to improve planarization publication-title: ECS J. Solid State Sci. Technol. doi: 10.1149/2.023309jss – volume: 57 start-page: 256 issue: 1 year: 2010 ident: 10.1016/j.mee.2015.12.004_bb0045 article-title: Electrical modeling and characterization of through silicon via for three-dimensional ICs publication-title: IEEE Trans. Electron Devices doi: 10.1109/TED.2009.2034508 – start-page: 1273 year: 2010 ident: 10.1016/j.mee.2015.12.004_bb0125 article-title: TSV stress testing and modeling – volume: 97 start-page: 43 issue: 1 year: 2009 ident: 10.1016/j.mee.2015.12.004_bb0080 article-title: Through-silicon via (TSV) publication-title: Proc. IEEE doi: 10.1109/JPROC.2008.2007462 – volume: 9 start-page: 524 issue: 4 year: 2009 ident: 10.1016/j.mee.2015.12.004_bb0110 article-title: Process integration considerations for 300mm TSV manufacturing publication-title: IEEE Trans. Device Mater. Reliab. doi: 10.1109/TDMR.2009.2034317 – volume: 36 start-page: 68 issue: 12 year: 2003 ident: 10.1016/j.mee.2015.12.004_bb0010 article-title: Leakage current: Moore's law meets static power publication-title: Computer doi: 10.1109/MC.2003.1250885 – volume: 3 start-page: Q207 issue: 10 year: 2014 ident: 10.1016/j.mee.2015.12.004_bb0120 article-title: Optimization of monolithic 3D TSV transformers for high-voltage digital isolators publication-title: ECS J. Solid State Sci. Technol. doi: 10.1149/2.0171410jss – start-page: 1769 year: 2010 ident: 10.1016/j.mee.2015.12.004_bb0135 article-title: Integrated process for defect-free copper plating and chemical–mechanical polishing of through-silicon vias for 3D interconnects – start-page: 577 year: 2008 ident: 10.1016/j.mee.2015.12.004_bb0065 article-title: Through silicon via copper electrodeposition for 3D integration – volume: 49 start-page: 11 issue: 1 year: 2013 ident: 10.1016/j.mee.2015.12.004_bb0170 article-title: Material removal mechanism of copper CMP from a chemical–mechanical synergy perspective publication-title: Tribol. Lett. doi: 10.1007/s11249-012-0037-2 – volume: 92 start-page: 3 year: 2012 ident: 10.1016/j.mee.2015.12.004_bb0035 article-title: Formation of TSV for the stacking of advanced logic devices utilizing bumpless wafer-on-wafer technology publication-title: Microelectron. Eng. doi: 10.1016/j.mee.2011.01.082 – volume: 151 start-page: G230 issue: 4 year: 2004 ident: 10.1016/j.mee.2015.12.004_bb0160 article-title: Mechanism of copper removal during CMP in acidic H2O2 slurry publication-title: J. Electrochem. Soc. doi: 10.1149/1.1648029 – volume: 158 start-page: H190 issue: 2 year: 2011 ident: 10.1016/j.mee.2015.12.004_bb0190 article-title: Effects of the functional groups of complexing agents and Cu oxide formation on Cu dissolution behaviors in Cu CMP process publication-title: J. Electrochem. Soc. doi: 10.1149/1.3522811 – volume: 21 start-page: 862 issue: 5 year: 2013 ident: 10.1016/j.mee.2015.12.004_bb0025 article-title: Study of through-silicon-Via impact on the 3D stacked IC layout publication-title: IEEE Trans. Very Large Scale Integr (VLSI) Syst. doi: 10.1109/TVLSI.2012.2201760 – start-page: 15 year: 2006 ident: 10.1016/j.mee.2015.12.004_bb0020 article-title: The 3rd dimension-more life for Moore's law – volume: 1 start-page: P107 issue: 3 year: 2012 ident: 10.1016/j.mee.2015.12.004_bb0115 article-title: Effect of process gases on fabricating tapered through-silicon vias by continuous SF6/O2/Ar plasma etching publication-title: ECS J. Solid State Sci. Technol. doi: 10.1149/2.022203jss – start-page: 841 year: 2008 ident: 10.1016/j.mee.2015.12.004_bb0030 article-title: Through silicon via technology — processes and reliability for wafer-level 3D system integration – volume: 154 start-page: C431 issue: 8 year: 2007 ident: 10.1016/j.mee.2015.12.004_bb0185 article-title: The electrochemical response of preoxidized copper in aqueous sulfide solutions publication-title: J. Electrochem. Soc. doi: 10.1149/1.2745647 |
SSID | ssj0016408 |
Score | 2.2073634 |
Snippet | Despite the fact that substantial research has been conducted on the through-silicon via (TSV) formation process during three-dimensional integration, there is... |
SourceID | proquest crossref elsevier |
SourceType | Aggregation Database Enrichment Source Index Database Publisher |
StartPage | 38 |
SubjectTerms | Bulging Chemical mechanical planarization Formations Integrated circuits Interconnections Materials selection MATHEMATICAL ANALYSIS Mathematical models MICA Non-uniformity Numerical analysis Planarization Scanning electron microscopy Three dimensional Through-silicon via Via dishing |
Title | Improvement of via dishing and non-uniformity in TSV chemical mechanical planarization |
URI | https://dx.doi.org/10.1016/j.mee.2015.12.004 https://www.proquest.com/docview/1825480295 |
Volume | 151 |
hasFullText | 1 |
inHoldings | 1 |
isFullTextHit | |
isPrint | |
link | http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnV3NS8MwFA9jXvQgfuL8GBE8CXX9SNLmOIZjKuyyD3YLaZpAZeuGbh79231J26EiO3hrS1LCy8v7ynu_h9AdyYwPdrjvpTHLwEEx1EtAL3oGdFnq88SC3thsiyEbTMjzjM4aqFfXwti0ykr2lzLdSevqS6eiZmeV552RTaDnoP7gzFrHxmKCEhJbLn_43KZ5gDfgutI5fG87ur7ZdDleC22RMgPqIoJVr7Y_dNMvKe1UT_8IHVY2I-6WyzpGDV2coINvSIKnaFoGB1ysDy8N_sglzsrwEpZFhsHJ9zaFrcJagNmN8wKPR1OsKrQAvNC2ANg9ruayAP-5rM48Q5P-47g38KqWCZ6KOFt71CjiK64Yy0LFwbTSVILDoJmMmSIBz0IwEUwQyyRNM0pUoCM45BbTLkppxGR0jpqwIn2BcBwrX0oTaZUxIGskwRYImFFGE655ErSQXxNLqApP3La1mIs6cexVAH2Fpa8IQgH0baH77ZRVCaaxazCpd0D84AgBwn7XtNt6twScFHv9IQu93LyLwDrDiR9yevm_X1-hfXgrc7bpNWqu3zb6BkySddp2PNdGe92nl8HwC8Mf3sM |
linkProvider | Elsevier |
linkToHtml | http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnV1LT8MwDLZgOwAHxFO8CRInpGrtmqTNcUKgDcYuG4hblKaJNLSVCTZ-P06bToAQB25V21SVk9ifHfszwCXNbYg4PAyyhOfooFgWpGgXA4u2LAtF6khvXLbFgHcf6d0ze16B67oWxqVVet1f6fRSW_s7LS_N1mw8bg1dAr1A84d71jk2dBWajp2KNaDZ6d13B8vDBE7LxnQlxbcbUB9ulmleU-PIMiNWBgV9u7ZfzNMPRV1an9st2PSwkXSqP9uGFVPswMYXMsFdeKriA2W4j7xa8jFWJK8iTEQVOUE_P1gUrhBrisibjAsyGj4R7QkDyNS4GuDycjZRBbrQVYHmHjze3oyuu4HvmhDoWPB5wKymoRaa87ytBaIrwxT6DIarhGsaibyNKMFGiUqzLGdURybGfe5o7eKMxVzF-9DAPzIHQJJEh0rZ2OicU1RECuFAxK22hgoj0ugQwlpYUntKcdfZYiLr3LEXifKVTr4yakuU7yFcLYfMKj6Nv16m9QzIb4tCor7_a9hFPVsSN4s7AVGFeV28y8j5w2nYFuzof58-h7Xu6KEv-73B_TGs45MqhZudQGP-tjCniFDm2ZlfgZ9w1-F0 |
openUrl | ctx_ver=Z39.88-2004&ctx_enc=info%3Aofi%2Fenc%3AUTF-8&rfr_id=info%3Asid%2Fsummon.serialssolutions.com&rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&rft.genre=article&rft.atitle=Improvement+of+via+dishing+and+non-uniformity+in+TSV+chemical+mechanical+planarization&rft.jtitle=Microelectronic+engineering&rft.au=Rao%2C+Can&rft.au=Wang%2C+Tongqing&rft.au=Wang%2C+Jie&rft.au=Liu%2C+Yuhong&rft.date=2016-02-05&rft.pub=Elsevier+B.V&rft.issn=0167-9317&rft.eissn=1873-5568&rft.volume=151&rft.spage=38&rft.epage=46&rft_id=info:doi/10.1016%2Fj.mee.2015.12.004&rft.externalDocID=S0167931715301064 |
thumbnail_l | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/lc.gif&issn=0167-9317&client=summon |
thumbnail_m | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/mc.gif&issn=0167-9317&client=summon |
thumbnail_s | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/sc.gif&issn=0167-9317&client=summon |