VLSI supply chain security risks and mitigation techniques: A survey

Hardware is the foundation of security and trust for any security system. However, recent study has revealed that hardware is subject to a number of security risks. Some of the most severe risks come from the VLSI supply chain. Such risks compromise the foundation of any existing security design. In...

Full description

Saved in:
Bibliographic Details
Published inIntegration (Amsterdam) Vol. 55; pp. 438 - 448
Main Authors Liu, Bao, Qu, Gang
Format Journal Article
LanguageEnglish
Published Elsevier B.V 01.09.2016
Subjects
Online AccessGet full text
ISSN0167-9260
1872-7522
DOI10.1016/j.vlsi.2016.03.002

Cover

Loading…
Abstract Hardware is the foundation of security and trust for any security system. However, recent study has revealed that hardware is subject to a number of security risks. Some of the most severe risks come from the VLSI supply chain. Such risks compromise the foundation of any existing security design. In this paper, we present a systematic survey on these security risks and their corresponding mitigation techniques. Categorization of security threats in VLSI development and supply chain.Evaluation of countermeasure techniques.Categorization of countermeasure techniques.Problem formulation or threat modeling for each countermeasure technique.
AbstractList Hardware is the foundation of security and trust for any security system. However, recent study has revealed that hardware is subject to a number of security risks. Some of the most severe risks come from the VLSI supply chain. Such risks compromise the foundation of any existing security design. In this paper, we present a systematic survey on these security risks and their corresponding mitigation techniques. Categorization of security threats in VLSI development and supply chain.Evaluation of countermeasure techniques.Categorization of countermeasure techniques.Problem formulation or threat modeling for each countermeasure technique.
Author Qu, Gang
Liu, Bao
Author_xml – sequence: 1
  givenname: Bao
  surname: Liu
  fullname: Liu, Bao
  organization: University of Texas at San Antonio, San Antonio, TX 78249, United States
– sequence: 2
  givenname: Gang
  surname: Qu
  fullname: Qu, Gang
  organization: University of Maryland, College Park, MD 20742, United States
BookMark eNp9kMtOAjEUhhuDiYC-gKu-wIy90JmOcUPwRkLiwsu26bSnUhwGbAvJvL2DuHLB6j_Jn-8k_zdCg3bTAkLXlOSU0OJmle-b6HPW3znhOSHsDA2pLFlWCsYGaNgXZVaxglygUYwrQgidlGKI7j8Wr3Mcd9tt02Gz1L7FEcwu-NTh4ONXxLq1eO2T_9TJb1qcwCxb_72DeIunPRj20F2ic6ebCFd_OUbvjw9vs-ds8fI0n00XmeFFkTLOTMFqZy2jkgkHpdSSaahJJQWzVE8ECG4lqyypJ9w5UTmQvHIlqYhwNeNjxI5_TdjEGMCpbfBrHTpFiTp4UCt18KAOHhThqvfQQ_IfZHz63ZKC9s1p9O6IQj9q7yGoaDy0BqwPYJKyG38K_wFCH3vR
CitedBy_id crossref_primary_10_1145_3548680
crossref_primary_10_1002_cpe_7058
crossref_primary_10_1007_s41635_017_0024_z
crossref_primary_10_1145_3495565
crossref_primary_10_1007_s40031_022_00735_5
crossref_primary_10_1109_TCAD_2019_2944586
crossref_primary_10_1587_transfun_2021EAP1091
crossref_primary_10_1016_j_jisa_2021_102861
crossref_primary_10_1371_journal_pone_0254903
crossref_primary_10_1587_transfun_E100_A_2857
crossref_primary_10_1080_17517575_2025_2472303
crossref_primary_10_1109_ACCESS_2019_2932478
crossref_primary_10_1080_19361610_2020_1870403
crossref_primary_10_1587_transfun_2023KEP0005
crossref_primary_10_1587_transfun_E101_A_2320
crossref_primary_10_1088_1361_6641_ac5ec1
crossref_primary_10_1109_ACCESS_2024_3418572
crossref_primary_10_1145_3410024
crossref_primary_10_1016_j_vlsi_2019_02_006
Cites_doi 10.1145/277044.277241
10.1109/SP.2011.27
10.1145/2228360.2228377
10.1109/HOTCHIPS.2007.7482518
10.1007/3-540-44647-8_1
10.1145/288548.288607
10.1109/SP.2011.32
10.1109/FPL.2010.59
10.1145/1536414.1536440
10.1109/ICCAD.2008.4681649
10.1145/288548.288606
10.1145/378993.379237
10.1109/SP.2013.27
10.1007/11427995_54
10.1109/HST.2009.5224971
10.1109/HST.2010.5513114
10.1007/978-1-4615-3190-6_3
10.1007/3-540-49380-8_2
10.1109/ASPDAC.2015.7059111
10.1007/978-3-540-30114-1_24
10.1109/FDTC.2011.12
10.1109/43.277609
10.1109/TIFS.2011.2160627
10.1145/1060590.1060669
10.1109/HST.2011.5954998
10.1147/rd.435.0863
10.1109/JETCAS.2014.2372431
10.1145/309847.310082
10.1145/1558607.1558671
10.1145/1080695.1069971
10.1145/605397.605409
10.7873/DATE.2014.256
10.1145/2744769.2744780
10.1109/TC.1982.1676066
10.1145/277044.277240
10.1007/978-3-642-04138-9_27
10.1007/978-3-642-04138-9_26
10.1145/545214.545226
10.1109/MICRO.2004.2
10.1109/43.952740
10.1007/s10617-005-1395-x
10.1145/263699.263712
10.1007/978-3-662-46078-8_3
10.1109/HST.2011.5954988
10.1109/TC.1986.1676819
10.14722/ndss.2015.23218
10.1109/TCAD.2002.804205
10.1109/ReConFig.2009.31
10.1109/40.755464
10.1145/1403375.1403631
10.1145/2459976.2459985
10.1109/MSPEC.2015.7024511
10.1145/1687399.1687424
10.1145/871656.859631
10.1109/ICASSP.2011.5947691
10.1145/2508859.2516656
10.1145/337292.337586
10.1109/SP.2010.19
10.1109/MDT.2010.24
10.1109/DSN.2005.70
10.1145/1391469.1391684
10.1109/SP.2010.18
10.1109/HST.2008.4559049
10.1145/1057661.1057743
10.7873/DATE.2013.261
10.1145/1629911.1630091
10.1145/2554797.2554820
10.1109/HLDVT.2009.5340158
10.1007/3-540-36400-5_2
10.1109/TCAD.2009.2028166
10.1007/3-540-48405-1_25
10.1109/12.2145
10.1007/3-540-68697-5_9
10.1007/BFb0052255
10.1007/978-3-642-15031-9_12
10.1109/SP.2007.36
10.1007/978-3-540-39881-3_21
10.1145/764808.764847
10.21236/ADA115912
10.1109/TCAD.2003.822126
10.1109/5.771065
10.1007/978-3-540-45146-4_27
10.1109/TR.2015.2430471
10.1109/4.826826
10.1007/11761679_19
10.1109/HST.2008.4559037
10.1007/978-3-540-30114-1_16
10.1007/978-3-642-04138-9_28
10.1109/HST.2013.6581564
10.1145/1080695.1069974
10.1109/FTCS.1999.781037
ContentType Journal Article
Copyright 2016
Copyright_xml – notice: 2016
DBID AAYXX
CITATION
DOI 10.1016/j.vlsi.2016.03.002
DatabaseName CrossRef
DatabaseTitle CrossRef
DatabaseTitleList
DeliveryMethod fulltext_linktorsrc
Discipline Engineering
EISSN 1872-7522
EndPage 448
ExternalDocumentID 10_1016_j_vlsi_2016_03_002
S0167926016300013
GroupedDBID --K
--M
-~X
.DC
.~1
0R~
1B1
1~.
1~5
29J
4.4
457
4G.
5GY
5VS
7-5
71M
8P~
9JN
AACTN
AAEDT
AAEDW
AAIAV
AAIKJ
AAKOC
AALRI
AAOAW
AAQFI
AAQXK
AAXUO
AAYFN
ABBOA
ABFNM
ABJNI
ABMAC
ABXDB
ABYKQ
ACDAQ
ACGFS
ACNNM
ACRLP
ACZNC
ADBBV
ADEZE
ADJOM
ADMUD
ADTZH
AEBSH
AECPX
AEKER
AFKWA
AFTJW
AGHFR
AGUBO
AGYEJ
AHHHB
AHJVU
AHZHX
AIALX
AIEXJ
AIKHN
AITUG
AJBFU
AJOXV
ALMA_UNASSIGNED_HOLDINGS
AMFUW
AMRAJ
AOUOD
ASPBG
AVWKF
AXJTR
AZFZN
BJAXD
BKOJK
BLXMC
CS3
EBS
EFJIC
EFLBG
EJD
EO8
EO9
EP2
EP3
F0J
F5P
FDB
FEDTE
FGOYB
FIRID
FNPLU
FYGXN
G-2
G-Q
G8K
GBLVA
GBOLZ
HLZ
HVGLF
HZ~
IHE
J1W
JJJVA
KOM
LG9
LY7
M41
MO0
N9A
O-L
O9-
OAUVE
OZT
P-8
P-9
P2P
PC.
Q38
R2-
RIG
ROL
RPZ
SBC
SDF
SDG
SDP
SES
SET
SEW
SPC
SPCBC
SST
SSV
SSZ
T5K
UHS
WUQ
XPP
ZMT
~G-
AATTM
AAXKI
AAYWO
AAYXX
ABDPE
ACRPL
ADNMO
AEIPS
AFJKZ
AFXIZ
AGCQF
AGQPQ
AGRNS
AIIUN
ANKPU
APXCP
BNPGV
CITATION
SSH
ID FETCH-LOGICAL-c366t-32c62bfdd21825fe78a82aeb09852d1a45e53d829d0b43ff59fe839f70905fb23
IEDL.DBID AIKHN
ISSN 0167-9260
IngestDate Thu Apr 24 23:08:48 EDT 2025
Thu Jul 03 08:28:28 EDT 2025
Fri Feb 23 02:22:05 EST 2024
IsPeerReviewed true
IsScholarly true
Keywords IP theft
VLSI
Security
Hardware Trojan
Language English
LinkModel DirectLink
MergedId FETCHMERGED-LOGICAL-c366t-32c62bfdd21825fe78a82aeb09852d1a45e53d829d0b43ff59fe839f70905fb23
PageCount 11
ParticipantIDs crossref_primary_10_1016_j_vlsi_2016_03_002
crossref_citationtrail_10_1016_j_vlsi_2016_03_002
elsevier_sciencedirect_doi_10_1016_j_vlsi_2016_03_002
PublicationCentury 2000
PublicationDate September 2016
2016-09-00
PublicationDateYYYYMMDD 2016-09-01
PublicationDate_xml – month: 09
  year: 2016
  text: September 2016
PublicationDecade 2010
PublicationTitle Integration (Amsterdam)
PublicationYear 2016
Publisher Elsevier B.V
Publisher_xml – name: Elsevier B.V
References O. Gelbart, P. Ott, B. Narahari, R. Simha, A. Choudhary, J. Zambreno, Codesseal: Compiler/fpga approach to secure applications, in: Proceedings of the IEEE International Conference on Intelligence and Security Informatics, 2005, pp. 530–535.
Trusted Computing Group, Trusted Platform Module (TPM) specifications. URL
C. Dunbar, G. Qu, Satisfiability don׳t care condition based circuit fingerprinting techniques, in: Proceedings of the Asian and South Pacific Design Automation Conference, 2015.
R. Rad, J. Plusquellic, M. Tehranipoor, Sensitivity analysis to hardware trojans using power supply transient signals, in: Proceedings of the 2008 IEEE International Workshop on Hardware-Oriented Security and Trust, 2008, pp. 3–7.

Mukherjee (bib113) 2008
National Security Council, The Comprehensive National Cybersecurity Initiative. URL
R.B. Lee, P.C.S. Kwan, J.P. McGregor, J. Dwoskin, Z. Wang, Architecture for protecting critical secrets in microprocessors, in: Proceedings of the International Symposium on Computer Architecture (ISCA), 2005, pp. 2–13.
R.S. Chakraborty, S. Bhunia, Hardware protection and authentication through netlist level obfuscation, in: Proceedings of the IEEE International Conference on Computer-Aided Design, 2008, pp. 674–677.
L. Yuan, G. Qu, A. Srivastava, VLSI CAD tool protection by birthmarking design solutions, in: Proceedings of the Great Lakes Symposium on VLSI, 2005, pp. 341–344.
Y. Jin, Y. Makris, Hardware Trojan detection using path delay fingerprint, in: Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008, pp. 51–57.
Lu (bib114) 1982; 31
C. Sturton, M. Hicks, D. Wagner, S.T. King, Defeating uci: building stealthy and malicious hardware, in: Proceedings of the of IEEE Symposium on Security and Privacy, 2011, pp. 64–77.
C. Dunbar, G. Qu, A practical circuit fingerprinting method utilizing observability don׳t care conditions, in: Proceedings of the ACM/IEEE Design Automation Conference, 2015, pp. 113–118.
K. Lofstrom, W. R. Daasch, D. Taylor, IC identification circuit using device mismatch, in: Proceedings of the IEEE Solid State Circuits Conference, 2000, pp. 372–373.
Gonthier (bib87) 2008; 55
Y. Ishai, A. Sahai, D. Wagner, Private circuits: securing hardware against probing attacks, in: Proceedings of the International Conference on Cryptography, 2003, pp. 463–481.
Liu, Wang (bib41) 2015; 5
U. Rührmair, M. van Dijk, PUFs in security protocols: attack models and security evaluations, in: IEEE Symposium on Security and Privacy, 2013, pp. 286–300.
L.W. Chow, J.P. Baukus, B.J. Wang, R.P. Cocchi, Camouflaging a standard cell based integrated circuit, US Patent 8,151,235, 2012. URL
X. Zhang, M. Tehranipoor, Case study: Detecting hardware Trojans in third-party digital ip cores, in: 2011 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2011, pp. 67–70.
Y. Ishai, M. Prabhakaran, A. Sahai, D. Wagner, Private circuits ii: Keeping secrets in tamperable circuits, in: Advances in Cryptology – EUROCRYPT 2006, 25th Annual International Conference on the Theory and Applications of Cryptographic Techniques, Lecture Notes in Computer Science, vol. 4004, Springer, Germany, 2006, pp. 308–327. URL
A. Waksman, S. Sethumadhavan, Silencing hardware backdoors, in: Proceedings of the IEEE Symposium on on Security and Privacy, 2011, pp. 49–63.
2010.
Love, Jin, Makris (bib92) 2012; 7
Tehranipoor, Salmani, Zhang (bib109) 2014
.
J. Rajendran, O. Sinanoglu, R. Karri, Is split manufacturing secure, in: Proceedings of the Conference on Design Automation and Test in Europe, 2013, pp. 1259–1264.
Kahng, Lach, Mangione-Smith, Mantik, Markov, Potkonjak, Tucker, Wang, Wolfe (bib57) 2001; 20
B. Liu, B. Wang, Embedded reconfigurable logic for ASIC design obfuscation against supply chain attacks, in: Proceedings of the Conference on Design Automation and Test in Europe, 2014.
Torunoglu, Charbon (bib71) 2000; 35
Koushanfar (bib75) 2012
Petitcolas, Anderson, Kuhn (bib103) 1999; 87
M. Namjoo, Techniques for concurrent testing of vlsi processor operation, in: Proceedings of the IEEE International Test Conference, 1982, pp. 461–468.
E. Love, Y. Jin, Y. Makris, Enhancing security via provably trustworthy hardware intellectual property, in: 2011 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2011, pp. 12–17.
D. Lie, C. Thekkath, M. Mitchell, et al., Architecture support for copy and tamper resistant software, in: Proceedings of the International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS-IX), 2000, pp. 168–177.
Elbaz, Champagne, Gebotys, Lee, Potlapally, Torres (bib29) 2009
J. Saia, M. Zamani, Recent results in scalable multi-party computation, in: G. Italiano, T. Margaria-Steffen, J. Pokorny, J.-J. Quisquater, R. Wattenhofer (Eds.), SOFSEM 2015: Theory and Practice of Computer Science, Lecture Notes in Computer Science, vol. 8939, Springer, Berlin, Heidelberg, 2015, pp. 24–44.
E. Rotenberg, Ar-smt: A microarchitectural approach to fault tolerance in microprocessors, in: Annual Fault-Tolerant Computing Systems (FTCS), 1999, p. 84.
L. Yuan, G. Qu, Information hiding in finite state machine, in: 6th Information Hiding Workshop, 2004, pp. 340–354.
Microsoft, Next-generation secure computing base. URL
Y.M. Alkabani, F. Koushanfar, Active hardware metering for intellectual property protection and security, in: Proceedings of the USENIX Security Symposium, 2007, pp. 291–306.
B. Barak, O. Goldreich, R. Impagliazzo, S. Rudich, A. Sahai, S. Vadhan, K. Yang, On the (im)possibility of obfuscating programs, in: Proceedings of the International Conference on Cryptography, 2001, pp. 1–18.
D. Kirovski, M. Drinic, M. Potkonjak, Enabling trusted software integrity, in: Proceedings of the 10th International Conference on Architecture Support for Programming Languages and Operating Systems, 2002, pp. 108–120.
A. Narayanan, V. Shmatikov, On the limits of point function obfuscation, 2006. URL
Abdel-Hamid, Tahar, Aboulhamid (bib63) 2004; 9
K.L. McMillan, Symbolic model checking: an approach to the state explosion problem (Ph.D. thesis), Carnegie Mellon University, 1992.
J.P. Shen, M. A. Schuette, On-line self-monitoring using signatured instruction streams, in: Proceedings of the IEEE International Test Conference, 1983, pp. 275–282.
A. Waksman, S. Sethumadhavan, Tamper evident microprocessors, in: Proceedings of the IEEE Symposium on on Security and Privacy, 2010, pp. 1–16.
Z. Brakerski, G.N. Rothblum, Black-box obfuscation for d-cnfs, Cryptography ePrint Archieve, 2013. URL
C. Webb, z6-the next-generation mainframe microprocessor, 2007. URL
Spainhower, Gregg (bib127) 1999; 43
J. Lach, W. H. Mangione-Smith, M. Potkonjak, Fingerprinting digital circuits on programmable hardware, in: Information Hiding Workshop, 1998, pp. 16–31.
H. Wee, On obfuscating point functions, in: Proceedings of the ACM Symposium on the Theory of Computing, 2005.
2009. URL
M. Banga, M. Hsiao, Trusted RTL: Trojan detection methodology in pre-silicon designs, in: IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2010, pp. 56–59.
M. Beaumont, B. Hopkins, T. Newby, Hardware Trojans Prevention, Detection, Countermeasures (A Literature Review), DSTO-TN-1012, unclassified, Technical Report, Australian Government, Department of Defense, Defense Science and Technology Organization, 2011.
C. Orlandi, Is multiparty computation any good in practice? in: ICASSP, 2011.
Schneier (bib1) 1996
D. Bernick, B. Bruckert, P. D. Vigna, D. Garcia, R. Jardine, J. Klecka, J. Smullen, NonStop advanced architecture, in: Proceedings of the International Conference on Dependable Systems and Networks (DSN), 2005, pp. 12–21.
Y. Jin, N. Kupp, Y. Makris, Experience in hardware Trojan design and implementation, in: Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009, pp. 50–57.
R. Canetti, Towards realizing random oracles: Hash functions that hide all partial information, in: Proceedings of the International Conference on Cryptography, 1997, pp. 455–469.
M. Drinic, D. Kirovski, A hardware-software platform for intrusion prevention, in: Porc. 37th Ann. IEEE/ACM International Symposium on Microarchitecture, 2004, pp. 233–242.
S. Skorobogatov, R. Anderson, Optical fault induction attacks, in: Proceedings of the Cryptographic Hardware and Embedded Systems, 2003, pp. 2–12.
A.B. Kahng, J. Lach, W.H. Mangione-Smith, S. Mantik, I.L. Markov, M. Potkonjak, P. Tucker, H. Wang, G. Wolfe, Watermarking techniques for intellectual property protection, in: Proceedings of the ACM/IEEE Design Automation Conference, 1998.
J. Lach, W. H. Mangione-Smith, M. Potkonjak, Signature hiding techniques for fpga intellectual property protection, in: Proceedings of the IEEE International Conference on Computer-Aided Design, 1998, pp. 186–189.
L. Lin, M. Kasper, T. Güneysu, C. Paar, W. Burleson, Trojan side-channels: lLightweight hardware Trojans through side-channel engineering, in: Proceedings of the Cryptographic Hardware and Embedded Systems, 2009, pp. 382–395.
Bryant (bib82) 1986; C-35
S. Drzevitzky, Proof-carrying hardware: runtime formal verification for secure dynamic reconfiguration, in: 2010 International Conference on Field Programmable Logic and Applications (FPL), 2010, pp. 255–258.
S.F. Daniels, A concurrent test technique for standard microprocessors, in: Digest of Papers, Compcon Spring 83, 1983, pp. 389–394.
U.S. Senate, Inquiry into counterfeit electronic parts in the department of defense supply chain, Report of the committee on armed services, May 21, 2012.
P.C. Kocher, Timing attacks on implementations of Diffie–Hellman, RSA, DSS, and other systems, Advances in Cryptology – CRYPTO׳96, Lecture Notes in Computer Science V, vol. 1109, 1996, pp. 104–113.
B. Liu, R. Sandhu, Fingerprint-based detection and diagnosis of malicious programs in hardware, IEEE Trans. Reliab. 64 (3) (2015) 1068-1077.
M.E. Massad, S. Garg, M.V. Tripunitara, Integrated circuit (IC) decamouflaging: reverse engineering camo
10.1016/j.vlsi.2016.03.002_bib94
Qu (10.1016/j.vlsi.2016.03.002_bib69) 2002; 21
10.1016/j.vlsi.2016.03.002_bib93
10.1016/j.vlsi.2016.03.002_bib130
10.1016/j.vlsi.2016.03.002_bib91
10.1016/j.vlsi.2016.03.002_bib90
10.1016/j.vlsi.2016.03.002_bib133
10.1016/j.vlsi.2016.03.002_bib134
10.1016/j.vlsi.2016.03.002_bib135
10.1016/j.vlsi.2016.03.002_bib14
10.1016/j.vlsi.2016.03.002_bib136
10.1016/j.vlsi.2016.03.002_bib13
10.1016/j.vlsi.2016.03.002_bib137
10.1016/j.vlsi.2016.03.002_bib12
Mukherjee (10.1016/j.vlsi.2016.03.002_bib113) 2008
10.1016/j.vlsi.2016.03.002_bib11
Böhm (10.1016/j.vlsi.2016.03.002_bib78) 2014
10.1016/j.vlsi.2016.03.002_bib99
10.1016/j.vlsi.2016.03.002_bib10
10.1016/j.vlsi.2016.03.002_bib98
10.1016/j.vlsi.2016.03.002_bib97
10.1016/j.vlsi.2016.03.002_bib96
10.1016/j.vlsi.2016.03.002_bib95
Qu (10.1016/j.vlsi.2016.03.002_bib68) 2003
10.1016/j.vlsi.2016.03.002_bib19
10.1016/j.vlsi.2016.03.002_bib18
10.1016/j.vlsi.2016.03.002_bib17
10.1016/j.vlsi.2016.03.002_bib16
10.1016/j.vlsi.2016.03.002_bib15
10.1016/j.vlsi.2016.03.002_bib120
10.1016/j.vlsi.2016.03.002_bib121
10.1016/j.vlsi.2016.03.002_bib122
10.1016/j.vlsi.2016.03.002_bib123
10.1016/j.vlsi.2016.03.002_bib124
10.1016/j.vlsi.2016.03.002_bib25
10.1016/j.vlsi.2016.03.002_bib125
10.1016/j.vlsi.2016.03.002_bib24
10.1016/j.vlsi.2016.03.002_bib126
10.1016/j.vlsi.2016.03.002_bib23
10.1016/j.vlsi.2016.03.002_bib22
10.1016/j.vlsi.2016.03.002_bib128
10.1016/j.vlsi.2016.03.002_bib21
10.1016/j.vlsi.2016.03.002_bib129
10.1016/j.vlsi.2016.03.002_bib20
Mitra (10.1016/j.vlsi.2016.03.002_bib131) 2015; 2
10.1016/j.vlsi.2016.03.002_bib28
Tehranipoor (10.1016/j.vlsi.2016.03.002_bib109) 2014
10.1016/j.vlsi.2016.03.002_bib27
Petitcolas (10.1016/j.vlsi.2016.03.002_bib103) 1999; 87
10.1016/j.vlsi.2016.03.002_bib26
Gonthier (10.1016/j.vlsi.2016.03.002_bib87) 2008; 55
Schneier (10.1016/j.vlsi.2016.03.002_bib1) 1996
10.1016/j.vlsi.2016.03.002_bib72
Bryant (10.1016/j.vlsi.2016.03.002_bib82) 1986; C-35
McFarland (10.1016/j.vlsi.2016.03.002_bib81) 1993; 12
10.1016/j.vlsi.2016.03.002_bib79
10.1016/j.vlsi.2016.03.002_bib76
10.1016/j.vlsi.2016.03.002_bib74
10.1016/j.vlsi.2016.03.002_bib73
Spainhower (10.1016/j.vlsi.2016.03.002_bib127) 1999; 43
Caldwell (10.1016/j.vlsi.2016.03.002_bib70) 2004; 23
10.1016/j.vlsi.2016.03.002_bib83
10.1016/j.vlsi.2016.03.002_bib80
Bushnell (10.1016/j.vlsi.2016.03.002_bib132) 2000
10.1016/j.vlsi.2016.03.002_bib3
10.1016/j.vlsi.2016.03.002_bib2
10.1016/j.vlsi.2016.03.002_bib5
10.1016/j.vlsi.2016.03.002_bib4
10.1016/j.vlsi.2016.03.002_bib7
10.1016/j.vlsi.2016.03.002_bib89
10.1016/j.vlsi.2016.03.002_bib6
10.1016/j.vlsi.2016.03.002_bib88
10.1016/j.vlsi.2016.03.002_bib9
10.1016/j.vlsi.2016.03.002_bib8
10.1016/j.vlsi.2016.03.002_bib86
10.1016/j.vlsi.2016.03.002_bib85
10.1016/j.vlsi.2016.03.002_bib84
Mahmood (10.1016/j.vlsi.2016.03.002_bib119) 1988; 37
Elbaz (10.1016/j.vlsi.2016.03.002_bib29) 2009
10.1016/j.vlsi.2016.03.002_bib50
10.1016/j.vlsi.2016.03.002_bib58
10.1016/j.vlsi.2016.03.002_bib56
10.1016/j.vlsi.2016.03.002_bib55
10.1016/j.vlsi.2016.03.002_bib54
10.1016/j.vlsi.2016.03.002_bib53
10.1016/j.vlsi.2016.03.002_bib52
Baumgarten (10.1016/j.vlsi.2016.03.002_bib46) 2010
10.1016/j.vlsi.2016.03.002_bib51
Koushanfar (10.1016/j.vlsi.2016.03.002_bib75) 2012
Abdel-Hamid (10.1016/j.vlsi.2016.03.002_bib63) 2004; 9
Love (10.1016/j.vlsi.2016.03.002_bib92) 2012; 7
10.1016/j.vlsi.2016.03.002_bib59
10.1016/j.vlsi.2016.03.002_bib61
10.1016/j.vlsi.2016.03.002_bib60
10.1016/j.vlsi.2016.03.002_bib67
10.1016/j.vlsi.2016.03.002_bib66
10.1016/j.vlsi.2016.03.002_bib65
10.1016/j.vlsi.2016.03.002_bib64
10.1016/j.vlsi.2016.03.002_bib62
10.1016/j.vlsi.2016.03.002_bib110
10.1016/j.vlsi.2016.03.002_bib111
10.1016/j.vlsi.2016.03.002_bib36
10.1016/j.vlsi.2016.03.002_bib35
10.1016/j.vlsi.2016.03.002_bib115
10.1016/j.vlsi.2016.03.002_bib34
10.1016/j.vlsi.2016.03.002_bib116
10.1016/j.vlsi.2016.03.002_bib33
10.1016/j.vlsi.2016.03.002_bib117
10.1016/j.vlsi.2016.03.002_bib32
10.1016/j.vlsi.2016.03.002_bib118
10.1016/j.vlsi.2016.03.002_bib31
10.1016/j.vlsi.2016.03.002_bib30
10.1016/j.vlsi.2016.03.002_bib39
10.1016/j.vlsi.2016.03.002_bib38
Torunoglu (10.1016/j.vlsi.2016.03.002_bib71) 2000; 35
10.1016/j.vlsi.2016.03.002_bib37
Lu (10.1016/j.vlsi.2016.03.002_bib114) 1982; 31
Kahng (10.1016/j.vlsi.2016.03.002_bib57) 2001; 20
Rührmair (10.1016/j.vlsi.2016.03.002_bib77) 2012
Liu (10.1016/j.vlsi.2016.03.002_bib41) 2015; 5
10.1016/j.vlsi.2016.03.002_bib100
10.1016/j.vlsi.2016.03.002_bib101
10.1016/j.vlsi.2016.03.002_bib102
10.1016/j.vlsi.2016.03.002_bib47
10.1016/j.vlsi.2016.03.002_bib104
10.1016/j.vlsi.2016.03.002_bib45
10.1016/j.vlsi.2016.03.002_bib105
10.1016/j.vlsi.2016.03.002_bib44
10.1016/j.vlsi.2016.03.002_bib106
Göessel (10.1016/j.vlsi.2016.03.002_bib112) 2008
10.1016/j.vlsi.2016.03.002_bib43
10.1016/j.vlsi.2016.03.002_bib107
10.1016/j.vlsi.2016.03.002_bib42
10.1016/j.vlsi.2016.03.002_bib108
10.1016/j.vlsi.2016.03.002_bib40
10.1016/j.vlsi.2016.03.002_bib49
Chakraborty (10.1016/j.vlsi.2016.03.002_bib48) 2009; 28
References_xml – reference: O. Gelbart, P. Ott, B. Narahari, R. Simha, A. Choudhary, J. Zambreno, Codesseal: Compiler/fpga approach to secure applications, in: Proceedings of the IEEE International Conference on Intelligence and Security Informatics, 2005, pp. 530–535.
– reference: R.B. Lee, P.C.S. Kwan, J.P. McGregor, J. Dwoskin, Z. Wang, Architecture for protecting critical secrets in microprocessors, in: Proceedings of the International Symposium on Computer Architecture (ISCA), 2005, pp. 2–13.
– reference: J.P. Shen, M. A. Schuette, On-line self-monitoring using signatured instruction streams, in: Proceedings of the IEEE International Test Conference, 1983, pp. 275–282.
– reference: R.S. Chakraborty, S. Bhunia, Security against hardware Trojan through a novel application of design obfuscation, in: Proceedings of the IEEE International Conference on Computer-Aided Design, 2009, pp. 113–116.
– reference: B. Liu, B. Wang, Embedded reconfigurable logic for ASIC design obfuscation against supply chain attacks, in: Proceedings of the Conference on Design Automation and Test in Europe, 2014.
– reference: L.W. Chow, J.P. Baukus, B.J. Wang, R.P. Cocchi, Camouflaging a standard cell based integrated circuit, US Patent 8,151,235, 2012. URL 〈
– reference: F. Imeson, A. Emtenan, S. Garg, M.V. Tripunitara, Securing computer hardware using 3d integrated circuit (ic) technology and split manufacturing for obfuscation, in: Proceedings of the 22nd USENIX Security Symposium, 2013, pp. 495–510.
– reference: Microsemi, Overview of design security using microsemi FPGAs and SoC FPGAs. URL 〈
– reference: IARPA, Trusted integrated chips (TIC), 2011. 〈
– reference: R. Rad, J. Plusquellic, M. Tehranipoor, Sensitivity analysis to hardware trojans using power supply transient signals, in: Proceedings of the 2008 IEEE International Workshop on Hardware-Oriented Security and Trust, 2008, pp. 3–7.
– reference: J. Lach, W. H. Mangione-Smith, M. Potkonjak, Signature hiding techniques for fpga intellectual property protection, in: Proceedings of the IEEE International Conference on Computer-Aided Design, 1998, pp. 186–189.
– reference: L. Yuan, G. Qu, Information hiding in finite state machine, in: 6th Information Hiding Workshop, 2004, pp. 340–354.
– reference: B. Liu, R. Sandhu, Fingerprint-based detection and diagnosis of malicious programs in hardware, IEEE Trans. Reliab. 64 (3) (2015) 1068-1077.
– reference: G. Qu, M. Potkonjak, Analysis of watermarking techniques for graph coloring problem, in: Proceedings of the IEEE International Conference on Computer-Aided Design, 1998, pp. 190–193.
– reference: National Security Council, The Comprehensive National Cybersecurity Initiative. URL 〈
– reference: M. Namjoo, E.J. McCluskey, Watchdog processors and capability checking, in: Digest of Papers, 12th Annual International Symposium on Fault Tolerant Computing, FTCS-12, 1982, pp. 245–248.
– reference: SypherMedia, Circuit camouflage technology: SMI IP protection and anti-tamper technologies, 2012. URL 〈
– reference: A.B. Kahng, J. Lach, W.H. Mangione-Smith, S. Mantik, I.L. Markov, M. Potkonjak, P. Tucker, H. Wang, G. Wolfe, Watermarking techniques for intellectual property protection, in: Proceedings of the ACM/IEEE Design Automation Conference, 1998.
– volume: 21
  start-page: 1363
  year: 2002
  end-page: 1368
  ident: bib69
  article-title: Publicly detectable watermarking for intellectual property authentication in vlsi design
  publication-title: IEEE Trans. Comput.-Aided Des.
– reference: L. Yuan, G. Qu, A. Srivastava, VLSI CAD tool protection by birthmarking design solutions, in: Proceedings of the Great Lakes Symposium on VLSI, 2005, pp. 341–344.
– year: 2003
  ident: bib68
  article-title: Intellectual Property Protection in VLSI Designs: Theory and Practice
– reference: SRI, PVS specification and verification system 〈
– reference: C. Webb, z6-the next-generation mainframe microprocessor, 2007. URL 〈
– reference: 〉, 2010.
– reference: T.M. Austin, Diva: A reliable substrate for deep submicron microarchitecture design, in: Proceedings of the Annual International Symposium on Microarchitecture (MICRO), 1999, pp. 196–207.
– reference: Microsemi, Overview of data security using microsemi FPGAs and SoC FPGAs. URL 〈
– reference: S.F. Daniels, A concurrent test technique for standard microprocessors, in: Digest of Papers, Compcon Spring 83, 1983, pp. 389–394.
– reference:
– reference: D. Bernick, B. Bruckert, P. D. Vigna, D. Garcia, R. Jardine, J. Klecka, J. Smullen, NonStop advanced architecture, in: Proceedings of the International Conference on Dependable Systems and Networks (DSN), 2005, pp. 12–21.
– volume: 87
  start-page: 1062
  year: 1999
  end-page: 1078
  ident: bib103
  article-title: Information hiding: a survey
  publication-title: Proc. IEEE
– reference: D. Kirovski, M. Drinic, M. Potkonjak, Enabling trusted software integrity, in: Proceedings of the 10th International Conference on Architecture Support for Programming Languages and Operating Systems, 2002, pp. 108–120.
– start-page: 66
  year: 2010
  end-page: 75
  ident: bib46
  article-title: Preventing IC piracy using reconfigurable logic barriers
  publication-title: IEEE Des. Test. Comput.
– volume: 5
  start-page: 98
  year: 2015
  end-page: 108
  ident: bib41
  article-title: Reconfiguration-based vlsi design for security
  publication-title: IEEE J. Emerg. Sel. Top. Circuits Syst.
– reference: H. Wee, On obfuscating point functions, in: Proceedings of the ACM Symposium on the Theory of Computing, 2005.
– reference: A. Waksman, S. Sethumadhavan, Silencing hardware backdoors, in: Proceedings of the IEEE Symposium on on Security and Privacy, 2011, pp. 49–63.
– reference: U.S. Senate, Inquiry into counterfeit electronic parts in the department of defense supply chain, Report of the committee on armed services, May 21, 2012.
– reference: D. Agrawal, S. Baktir, D. Karakoyunlu, P. Rohatgi, B. Sunar, Trojan detection using IC fingerprinting, in: Proceedings of the IEEE Symposium on Security and Privacy, 2007, pp. 296–310.
– volume: 28
  start-page: 1493
  year: 2009
  end-page: 1502
  ident: bib48
  article-title: HARPOON
  publication-title: IEEE Trans. Comput.-Aided Des.
– reference: C. Dunbar, G. Qu, A practical circuit fingerprinting method utilizing observability don׳t care conditions, in: Proceedings of the ACM/IEEE Design Automation Conference, 2015, pp. 113–118.
– reference: K.L. McMillan, Symbolic model checking: an approach to the state explosion problem (Ph.D. thesis), Carnegie Mellon University, 1992.
– reference: K. Lofstrom, W. R. Daasch, D. Taylor, IC identification circuit using device mismatch, in: Proceedings of the IEEE Solid State Circuits Conference, 2000, pp. 372–373.
– reference: Y. Ishai, A. Sahai, D. Wagner, Private circuits: securing hardware against probing attacks, in: Proceedings of the International Conference on Cryptography, 2003, pp. 463–481.
– reference: B. Barak, O. Goldreich, R. Impagliazzo, S. Rudich, A. Sahai, S. Vadhan, K. Yang, On the (im)possibility of obfuscating programs, in: Proceedings of the International Conference on Cryptography, 2001, pp. 1–18.
– reference: K. Xiao, M. Tehranipoor, Bisa: Built-in self-authentication for preventing hardware Trojan insertion, in: 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2013, pp. 45–0.
– reference: C. Orlandi, Is multiparty computation any good in practice? in: ICASSP, 2011.
– start-page: 1
  year: 2009
  end-page: 22
  ident: bib29
  article-title: Hardware mechanisms for memory authentication
  publication-title: Trans. Comput. Sci.
– reference: L. Yuan, R. Pari, G. Qu, Soft IP protection: watermarking HDL source codes, in: 6th Information Hiding Workshop, 2004, pp. 224–238.
– reference: B. Schneier, Security pitfalls in cryptography 〈
– reference: J.G.J. van Woudenberg, M.F. Witteman, F. Menarini, Practical optical fault injection on secure microcontrollers, in: Proceedings of the 2011 Workshop on Fault Diagnosis and Tolerance in Cryptography, 2011, pp. 91–99.
– start-page: 103
  year: 2012
  end-page: 122
  ident: bib75
  article-title: Hardware metering
  publication-title: Introduction to Hardware Security and Trust
– reference: J. Roy, F. Koushanfar, I. Markov, EPIC: Ending piracy of integrated circuits, in: Proceedings of the Conference on Design Automation and Test in Europe, 2008, pp. 1069–1074.
– volume: 7
  start-page: 25
  year: 2012
  end-page: 40
  ident: bib92
  article-title: Proof-carrying hardware intellectual property
  publication-title: IEEE Trans. Inf. Forensics Secur.
– reference: G.E. Suh, C.W. O׳Donnell, I. Sachdev, S. Devadas, Design and implementation of a single-chip secure processor using physical random functions, in: Proceedings of the International Symposium on Computer Architecture, 2004.
– reference: L. Lin, M. Kasper, T. Güneysu, C. Paar, W. Burleson, Trojan side-channels: lLightweight hardware Trojans through side-channel engineering, in: Proceedings of the Cryptographic Hardware and Embedded Systems, 2009, pp. 382–395.
– volume: 2
  start-page: 46
  year: 2015
  end-page: 51
  ident: bib131
  article-title: The Trojan-proof chip
  publication-title: IEEE Spectr.
– reference: M. Abramovici, P. Bradley, Integrated circuit security – new threats and solutions, in: Proceedings of the 5th Annual Workshop on Cyber Security and Information Intelligence Research: Cyber Security and Information Intelligence Challenges and Strategies, 2009.
– reference: Y. Shiyanovskii, F. Wolff, C. Papachristou, D. Weyer, W. Clay, Exploiting semiconductor properties for hardware trojans, ePrint
– reference: S. Ravi, A. Raghunathan, S. Chakradhar, Tamper resistance mechanisms for secure embedded systems, in: International Conference on VLSI Design, 2004.
– reference: U. Rührmair, M. van Dijk, PUFs in security protocols: attack models and security evaluations, in: IEEE Symposium on Security and Privacy, 2013, pp. 286–300.
– reference: M. Potkonjak, A. Nahapetian, M. Nelson, T. Massey, Hardware Trojan horse detection using gate-level characterization, in: Proceedings of the ACM/IEEE Design Automation Conference, 2009, pp. 688–693.
– reference: , 2009. URL 〈
– reference: X. Zhang, M. Tehranipoor, Case study: Detecting hardware Trojans in third-party digital ip cores, in: 2011 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2011, pp. 67–70.
– reference: M. Beaumont, B. Hopkins, T. Newby, Hardware Trojans Prevention, Detection, Countermeasures (A Literature Review), DSTO-TN-1012, unclassified, Technical Report, Australian Government, Department of Defense, Defense Science and Technology Organization, 2011. 〈
– reference: Chipworks. [link] URL 〈
– reference: Z. Brakerski, G.N. Rothblum, Black-box obfuscation for d-cnfs, Cryptography ePrint Archieve, 2013. URL 〈
– reference: C. Sturton, M. Hicks, D. Wagner, S.T. King, Defeating uci: building stealthy and malicious hardware, in: Proceedings of the of IEEE Symposium on Security and Privacy, 2011, pp. 64–77.
– reference: ARM, Building a secure system using trustzone technology, ARM Limited.
– volume: 31
  start-page: 681
  year: 1982
  end-page: 685
  ident: bib114
  article-title: Watchdog processors and structural integrity checking
  publication-title: IEEE Trans. Comput.
– reference: 〉, 2014.
– reference: P. Kocher, J. Jaffe, B. Jun, Differential power analysis, in: Proceedings of the International Cryptography Conference on Advances in Cryptography, 1999, pp. 388–397.
– reference: G.E. Suh, D. Clarke, B. Gassend, M. van Dijk, S. Devadas, AEGIS: architecture for tamper-evident and tamper-resistant processing, in: Proceedings of the International Conference on Supercomputing, 2003.
– reference: J. Rajendran, O. Sinanoglu, R. Karri, Is split manufacturing secure, in: Proceedings of the Conference on Design Automation and Test in Europe, 2013, pp. 1259–1264.
– reference: M. Hicks, M. Finnicum, S. T. King, M. M. K. Martin, J. M. Smith, Overcoming an untrusted computing base: detecting and removing malicious hardware automatically, in: Proceedings of the of IEEE Symposium on Security and Privacy, 2010, pp. 159–172.
– reference: Y. Ishai, M. Prabhakaran, A. Sahai, D. Wagner, Private circuits ii: Keeping secrets in tamperable circuits, in: Advances in Cryptology – EUROCRYPT 2006, 25th Annual International Conference on the Theory and Applications of Cryptographic Techniques, Lecture Notes in Computer Science, vol. 4004, Springer, Germany, 2006, pp. 308–327. URL 〈
– reference: M. Drinic, D. Kirovski, A hardware-software platform for intrusion prevention, in: Porc. 37th Ann. IEEE/ACM International Symposium on Microarchitecture, 2004, pp. 233–242.
– volume: 37
  start-page: 160
  year: 1988
  end-page: 174
  ident: bib119
  article-title: Concurrent error detection using watchdog processors – a survey
  publication-title: IEEE Trans. Comput.
– year: 2008
  ident: bib113
  publication-title: Architecture Design for Soft Errors
– reference: T.N. Vijaykumar, I. Pomeranz, K. Cheng, Transient fault recovery using simultaneous multithreading, in: Proceedings of the International Symposium on Computer Architecture, 2002.
– reference: Y.M. Alkabani, F. Koushanfar, Active hardware metering for intellectual property protection and security, in: Proceedings of the USENIX Security Symposium, 2007, pp. 291–306.
– reference: P.C. Kocher, Timing attacks on implementations of Diffie–Hellman, RSA, DSS, and other systems, Advances in Cryptology – CRYPTO׳96, Lecture Notes in Computer Science V, vol. 1109, 1996, pp. 104–113.
– reference: J. Rajendran, M. Sam, O. Sinanoglu, R. Karri, Security analysis of integrated circuit camouflaging, in: ACM Conference on Computer and Communications Security, 2013, pp. 709–720.
– reference: S. Drimer, Volatile FPGA design security – a survey, 2008. URL 〈
– reference: E. Love, Y. Jin, Y. Makris, Enhancing security via provably trustworthy hardware intellectual property, in: 2011 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2011, pp. 12–17.
– reference: 〉.
– reference: J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Security analysis of logic obfuscation, in: Proceedings of the ACM/IEEE Design Automation Conference, 2012, pp. 83–89.
– reference: Altera, Anti-tamper capabilities in FPGA designs. URL 〈
– reference: M. Bhargava, Reliable, secure, efficient physical unclonable functions (Ph.D. thesis), Carnegie Mellon University, 2013.
– volume: 9
  start-page: 211
  year: 2004
  end-page: 227
  ident: bib63
  article-title: A survey on IP watermarking techniques
  publication-title: Des. Autom. Embed. Syst.
– reference: G. Qu, M. Potkonjak, Fingerprinting intellectual property using constraint-addition, in: Design Automation Conference, 2000.
– reference: A.M. Fiskiran, R.B. Lee, Runtime execution monitoring (REM) to detect and prevent malicious code execution, in: Proceedings of the IEEE International Conference on Computer Design, 2004.
– reference: R. Canetti, Towards realizing random oracles: Hash functions that hide all partial information, in: Proceedings of the International Conference on Cryptography, 1997, pp. 455–469.
– reference: M.E. Massad, S. Garg, M.V. Tripunitara, Integrated circuit (IC) decamouflaging: reverse engineering camouflaged ICs within minutes, in: Proceedings of NDSS, 2015.
– year: 2014
  ident: bib78
  article-title: Physical Unclonable Functions in Theory and Practice
– volume: 12
  start-page: 633
  year: 1993
  end-page: 654
  ident: bib81
  article-title: Formal verification of sequential hardware
  publication-title: IEEE Trans. Comput.-Aided Des.
– reference: S. Drzevitzky, U. Kastens, M. Platzner, Proof-carrying hardware: towards runtime verification of reconfigurable modules, in: International Conference on Reconfigurable Computing and FPGAs, 2009, pp. 189–194.
– reference: H. Choukri, M. Tunstall, Fault attacks, in: H. Bidgol (Ed.), Handbook of Information Security, John Wiley and Sons, Hoboken, New Jersey, USA, simultaneously in Canada, Volume III, 2006, pp. 230-231. ISBN-13:978-0-471-64832-1, ISBN-10:0-471-64832-9
– reference: J. Saia, M. Zamani, Recent results in scalable multi-party computation, in: G. Italiano, T. Margaria-Steffen, J. Pokorny, J.-J. Quisquater, R. Wattenhofer (Eds.), SOFSEM 2015: Theory and Practice of Computer Science, Lecture Notes in Computer Science, vol. 8939, Springer, Berlin, Heidelberg, 2015, pp. 24–44.
– volume: 55
  start-page: 1382
  year: 2008
  end-page: 1392
  ident: bib87
  article-title: Formal proof – the four-color theorem
  publication-title: Not. Am. Math. Soc.
– reference: C. Gentry, A fully homomorphic encryption scheme (Ph.D. thesis), Stanford University, 2009. URL 〈
– reference: E. Rotenberg, Ar-smt: A microarchitectural approach to fault tolerance in microprocessors, in: Annual Fault-Tolerant Computing Systems (FTCS), 1999, p. 84.
– reference: M.A. Gomaa, C. Scarbrough, T. N. Vijaykumar, I. Pomeranz, Transient fault-recovery for chip multiprocessors, in: Proceedings of the International Symposium on Computer Architecture, 2003, pp. 98–109.
– year: 2014
  ident: bib109
  publication-title: Integrated Circuit Authentication: Hardware Trojans and Counterfeit Detection
– reference: H. Community, HOL interactive theorem prover 〈
– reference: S. Drzevitzky, Proof-carrying hardware: runtime formal verification for secure dynamic reconfiguration, in: 2010 International Conference on Field Programmable Logic and Applications (FPL), 2010, pp. 255–258.
– reference: S. Skorobogatov, R. Anderson, Optical fault induction attacks, in: Proceedings of the Cryptographic Hardware and Embedded Systems, 2003, pp. 2–12.
– volume: 20
  start-page: 1236
  year: 2001
  end-page: 1252
  ident: bib57
  article-title: Constraint-based watermarking techniques for design intellectual property protection
  publication-title: IEEE Trans. Comput.-Aided Des.
– reference: A.R. Desai, M.S. Hsiao, et al., Interlocking obfuscation for anti-tamper hardware, in: CSIIRW, 2012, pp. 1–4.
– reference: A.B. Kahng, S. Mantik, I.L. Markov, M. Potkonjak, P. Tucker, H. Wang, G. Wolfe, Robust ip watermarking methodologies for physical design, in: Proceedings of the ACM/IEEE Design Automation Conference, 1998, pp. 782–787.
– reference:
– reference: M. Banga, M. Hsiao, Trusted RTL: Trojan detection methodology in pre-silicon designs, in: IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2010, pp. 56–59.
– reference: Microsoft, Next-generation secure computing base. URL 〈
– reference: J. Lach, W. H. Mangione-Smith, M. Potkonjak, Fingerprinting digital circuits on programmable hardware, in: Information Hiding Workshop, 1998, pp. 16–31.
– reference: R.S. Chakraborty, F.G. Wolf, S. Paul, C.A. Papachristou, S. Bhunia, MERO: a statistical approach for hardware trojan detection, in: Proceedings of the Cryptographic Hardware and Embedded Systems, 2009, pp. 396–410.
– reference: X. Zhang, On-chip structures and techniques to improve the security, trustworthiness and reliability of integrated circuits (Ph.D. thesis), University of Connecticut, 2013.
– reference: D. Du, S. Narasimhan, R. S. Chakraborty, S. Bhunia, Self-referencing: a scalable side-channel approach for hardware trojan detection, in: Proceedings of the Cryptographic Hardware and Embedded Systems, 2010.
– reference: P.P. Shirvani, E.J. McCluskey, Fault-tolerant systems in a space environment: the CRC ARGOS project, CRC Technical Report No. 98-2 (CSL TR No. 98-774), 1998.
– reference: G.C. Necula, Proof-carrying code, in: POPL ׳97: Proceedings of the 24th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, 1997, pp. 106–119.
– reference: Trusted Computing Group, Trusted Platform Module (TPM) specifications. URL 〈
– reference: A.K. Jain, L. Yuan, P.R. Pari, G. Qu, Zero overhead watermarking technique for fpga designs, in: Proceedings of the Great Lakes Symposium on VLSI, 2003, pp. 147–152.
– reference: J. Lach, W.H. Mangione-Smith, M. Potkonjak, Fpga fingerprinting techniques for protecting intellectual property, in: Custom Integrated Circuits Conference, 1998, pp. 299–302.
– reference: J.A. Roy, F. Koushanfar, I.L. Markov, Protecting bus-based hardware IP by secret sharing, in: Proceedings of the ACM/IEEE Design Automation Conference, 2008, pp. 846–851.
– reference: A. Narayanan, V. Shmatikov, On the limits of point function obfuscation, 2006. URL 〈
– year: 2008
  ident: bib112
  publication-title: New Methods of Concurrent Checking
– reference: C. Dunbar, G. Qu, Satisfiability don׳t care condition based circuit fingerprinting techniques, in: Proceedings of the Asian and South Pacific Design Automation Conference, 2015.
– year: 2000
  ident: bib132
  publication-title: Essentials of Electronic Testing For Digital, Memory, And Mixed-Signal VLSI Circuits
– reference: D. Lie, C. Thekkath, M. Mitchell, et al., Architecture support for copy and tamper resistant software, in: Proceedings of the International Conference on Architecture Support for Programming Languages and Operating Systems (ASPLOS-IX), 2000, pp. 168–177.
– volume: 23
  start-page: 208
  year: 2004
  end-page: 215
  ident: bib70
  article-title: Effective iterative techniques for fingerprinting design ip
  publication-title: IEEE Trans. Comput.-Aided Des.
– reference: INRIA, The coq proof assistant 〈
– reference: Y. Jin, Y. Makris, Hardware Trojan detection using path delay fingerprint, in: Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008, pp. 51–57.
– reference: R.S. Chakraborty, S. Bhunia, Hardware protection and authentication through netlist level obfuscation, in: Proceedings of the IEEE International Conference on Computer-Aided Design, 2008, pp. 674–677.
– year: 1996
  ident: bib1
  article-title: Applied Cryptography: Protocols, Algorithms and Source Code in C
– reference: .
– reference: R.S. Chakraborty, S. Narasimhan, S. Bhunia, Hardware trojans: threats and emerging solutions, in: IEEE International High Level Design Validation and Test Workshop, 2009, pp. 166 – 171.
– reference: A.L. Oliverira, Robust techniques for watermarking sequential circuit designs, in: Proceedings of the ACM/IEEE Design Automation Conference, 1999, pp. 837–842.
– reference: R. Torrance, D. James, The state-of-the-art in IC reverse engineering, in: Cryptographic Hardware and Embedded Systems – CHES 2009, Lecture Notes in Computer Science, vol. 5747, 2009, pp. 363–381.
– start-page: 65
  year: 2012
  end-page: 102
  ident: bib77
  article-title: Security based on physical unclonability and disorder
  publication-title: Introduction to Hardware Security and Trust
– reference: A. Waksman, S. Sethumadhavan, Tamper evident microprocessors, in: Proceedings of the IEEE Symposium on on Security and Privacy, 2010, pp. 1–16.
– reference: Y. Jin, N. Kupp, Y. Makris, Experience in hardware Trojan design and implementation, in: Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009, pp. 50–57.
– reference: T.J. Slegel, R.M. Averill, M.A. Check, B.C. Giamei, B.W. Krumm, C.A. Krygowski, W.H. Li, J.S. Liptay, J.D. MacDougall, T.J. McPherson, J.A. Navaroo, E.M. Schwarz, K. Shum, C.F. Web, IBM׳s S/390 G5 microprocessor design, IEEE Micro (1999) 12–23.
– volume: 43
  start-page: 863
  year: 1999
  end-page: 873
  ident: bib127
  article-title: IBM S/390 parallel enterprise server G5 fault tolerance
  publication-title: IBM J. Res. Dev.
– reference: M. Namjoo, Techniques for concurrent testing of vlsi processor operation, in: Proceedings of the IEEE International Test Conference, 1982, pp. 461–468.
– volume: 35
  start-page: 434
  year: 2000
  end-page: 440
  ident: bib71
  article-title: Watermarking-based copyright protection of sequential functions
  publication-title: IEEE J. Solid State Circuits
– reference: R.B. Lee, D.K. Karig, J.P. Mcgregor, Z. Shi, Enlisting hardware architecture to thwart malicious code injection, in: Proceedings of the 2003 International Conference on Security in Pervasive Computing, 2003, pp. 237–252.
– volume: C-35
  start-page: 677
  year: 1986
  end-page: 691
  ident: bib82
  article-title: Graph-based algorithms for boolean function manipulation
  publication-title: IEEE Trans. Comput.
– year: 2000
  ident: 10.1016/j.vlsi.2016.03.002_bib132
– ident: 10.1016/j.vlsi.2016.03.002_bib61
  doi: 10.1145/277044.277241
– ident: 10.1016/j.vlsi.2016.03.002_bib101
  doi: 10.1109/SP.2011.27
– ident: 10.1016/j.vlsi.2016.03.002_bib3
– ident: 10.1016/j.vlsi.2016.03.002_bib54
  doi: 10.1145/2228360.2228377
– ident: 10.1016/j.vlsi.2016.03.002_bib128
  doi: 10.1109/HOTCHIPS.2007.7482518
– ident: 10.1016/j.vlsi.2016.03.002_bib20
– ident: 10.1016/j.vlsi.2016.03.002_bib43
– ident: 10.1016/j.vlsi.2016.03.002_bib116
– ident: 10.1016/j.vlsi.2016.03.002_bib32
  doi: 10.1007/3-540-44647-8_1
– ident: 10.1016/j.vlsi.2016.03.002_bib67
  doi: 10.1145/288548.288607
– ident: 10.1016/j.vlsi.2016.03.002_bib95
  doi: 10.1109/SP.2011.32
– ident: 10.1016/j.vlsi.2016.03.002_bib90
  doi: 10.1109/FPL.2010.59
– ident: 10.1016/j.vlsi.2016.03.002_bib135
  doi: 10.1145/1536414.1536440
– ident: 10.1016/j.vlsi.2016.03.002_bib51
  doi: 10.1109/ICCAD.2008.4681649
– ident: 10.1016/j.vlsi.2016.03.002_bib66
  doi: 10.1145/288548.288606
– ident: 10.1016/j.vlsi.2016.03.002_bib7
  doi: 10.1145/378993.379237
– ident: 10.1016/j.vlsi.2016.03.002_bib79
  doi: 10.1109/SP.2013.27
– ident: 10.1016/j.vlsi.2016.03.002_bib17
– ident: 10.1016/j.vlsi.2016.03.002_bib34
– ident: 10.1016/j.vlsi.2016.03.002_bib6
– ident: 10.1016/j.vlsi.2016.03.002_bib8
  doi: 10.1007/11427995_54
– ident: 10.1016/j.vlsi.2016.03.002_bib100
  doi: 10.1109/HST.2009.5224971
– ident: 10.1016/j.vlsi.2016.03.002_bib86
– ident: 10.1016/j.vlsi.2016.03.002_bib96
  doi: 10.1109/HST.2010.5513114
– ident: 10.1016/j.vlsi.2016.03.002_bib83
  doi: 10.1007/978-1-4615-3190-6_3
– ident: 10.1016/j.vlsi.2016.03.002_bib64
  doi: 10.1007/3-540-49380-8_2
– ident: 10.1016/j.vlsi.2016.03.002_bib74
  doi: 10.1109/ASPDAC.2015.7059111
– ident: 10.1016/j.vlsi.2016.03.002_bib26
– ident: 10.1016/j.vlsi.2016.03.002_bib59
  doi: 10.1007/978-3-540-30114-1_24
– ident: 10.1016/j.vlsi.2016.03.002_bib23
– year: 2003
  ident: 10.1016/j.vlsi.2016.03.002_bib68
– ident: 10.1016/j.vlsi.2016.03.002_bib37
– ident: 10.1016/j.vlsi.2016.03.002_bib19
  doi: 10.1109/FDTC.2011.12
– ident: 10.1016/j.vlsi.2016.03.002_bib9
– volume: 12
  start-page: 633
  issue: 5
  year: 1993
  ident: 10.1016/j.vlsi.2016.03.002_bib81
  article-title: Formal verification of sequential hardware
  publication-title: IEEE Trans. Comput.-Aided Des.
  doi: 10.1109/43.277609
– volume: 7
  start-page: 25
  issue: 1
  year: 2012
  ident: 10.1016/j.vlsi.2016.03.002_bib92
  article-title: Proof-carrying hardware intellectual property
  publication-title: IEEE Trans. Inf. Forensics Secur.
  doi: 10.1109/TIFS.2011.2160627
– ident: 10.1016/j.vlsi.2016.03.002_bib35
  doi: 10.1145/1060590.1060669
– ident: 10.1016/j.vlsi.2016.03.002_bib98
  doi: 10.1109/HST.2011.5954998
– ident: 10.1016/j.vlsi.2016.03.002_bib110
– volume: 43
  start-page: 863
  issue: 5/6
  year: 1999
  ident: 10.1016/j.vlsi.2016.03.002_bib127
  article-title: IBM S/390 parallel enterprise server G5 fault tolerance
  publication-title: IBM J. Res. Dev.
  doi: 10.1147/rd.435.0863
– start-page: 1
  year: 2009
  ident: 10.1016/j.vlsi.2016.03.002_bib29
  article-title: Hardware mechanisms for memory authentication
  publication-title: Trans. Comput. Sci.
– volume: 5
  start-page: 98
  issue: 1
  year: 2015
  ident: 10.1016/j.vlsi.2016.03.002_bib41
  article-title: Reconfiguration-based vlsi design for security
  publication-title: IEEE J. Emerg. Sel. Top. Circuits Syst.
  doi: 10.1109/JETCAS.2014.2372431
– ident: 10.1016/j.vlsi.2016.03.002_bib58
  doi: 10.1145/309847.310082
– ident: 10.1016/j.vlsi.2016.03.002_bib130
  doi: 10.1145/1558607.1558671
– ident: 10.1016/j.vlsi.2016.03.002_bib12
  doi: 10.1145/1080695.1069971
– ident: 10.1016/j.vlsi.2016.03.002_bib38
– ident: 10.1016/j.vlsi.2016.03.002_bib13
  doi: 10.1145/605397.605409
– ident: 10.1016/j.vlsi.2016.03.002_bib4
– ident: 10.1016/j.vlsi.2016.03.002_bib40
  doi: 10.7873/DATE.2014.256
– ident: 10.1016/j.vlsi.2016.03.002_bib73
  doi: 10.1145/2744769.2744780
– volume: 31
  start-page: 681
  issue: 7
  year: 1982
  ident: 10.1016/j.vlsi.2016.03.002_bib114
  article-title: Watchdog processors and structural integrity checking
  publication-title: IEEE Trans. Comput.
  doi: 10.1109/TC.1982.1676066
– start-page: 65
  year: 2012
  ident: 10.1016/j.vlsi.2016.03.002_bib77
  article-title: Security based on physical unclonability and disorder
– ident: 10.1016/j.vlsi.2016.03.002_bib80
– ident: 10.1016/j.vlsi.2016.03.002_bib56
  doi: 10.1145/277044.277240
– ident: 10.1016/j.vlsi.2016.03.002_bib102
  doi: 10.1007/978-3-642-04138-9_27
– ident: 10.1016/j.vlsi.2016.03.002_bib21
– ident: 10.1016/j.vlsi.2016.03.002_bib24
  doi: 10.1007/978-3-642-04138-9_26
– ident: 10.1016/j.vlsi.2016.03.002_bib125
  doi: 10.1145/545214.545226
– year: 2014
  ident: 10.1016/j.vlsi.2016.03.002_bib78
– ident: 10.1016/j.vlsi.2016.03.002_bib14
  doi: 10.1109/MICRO.2004.2
– volume: 20
  start-page: 1236
  issue: 10
  year: 2001
  ident: 10.1016/j.vlsi.2016.03.002_bib57
  article-title: Constraint-based watermarking techniques for design intellectual property protection
  publication-title: IEEE Trans. Comput.-Aided Des.
  doi: 10.1109/43.952740
– volume: 9
  start-page: 211
  year: 2004
  ident: 10.1016/j.vlsi.2016.03.002_bib63
  article-title: A survey on IP watermarking techniques
  publication-title: Des. Autom. Embed. Syst.
  doi: 10.1007/s10617-005-1395-x
– ident: 10.1016/j.vlsi.2016.03.002_bib85
– ident: 10.1016/j.vlsi.2016.03.002_bib88
  doi: 10.1145/263699.263712
– ident: 10.1016/j.vlsi.2016.03.002_bib137
  doi: 10.1007/978-3-662-46078-8_3
– ident: 10.1016/j.vlsi.2016.03.002_bib91
  doi: 10.1109/HST.2011.5954988
– ident: 10.1016/j.vlsi.2016.03.002_bib27
– volume: C-35
  start-page: 677
  issue: 8
  year: 1986
  ident: 10.1016/j.vlsi.2016.03.002_bib82
  article-title: Graph-based algorithms for boolean function manipulation
  publication-title: IEEE Trans. Comput.
  doi: 10.1109/TC.1986.1676819
– ident: 10.1016/j.vlsi.2016.03.002_bib44
  doi: 10.14722/ndss.2015.23218
– volume: 21
  start-page: 1363
  issue: 11
  year: 2002
  ident: 10.1016/j.vlsi.2016.03.002_bib69
  article-title: Publicly detectable watermarking for intellectual property authentication in vlsi design
  publication-title: IEEE Trans. Comput.-Aided Des.
  doi: 10.1109/TCAD.2002.804205
– ident: 10.1016/j.vlsi.2016.03.002_bib89
  doi: 10.1109/ReConFig.2009.31
– ident: 10.1016/j.vlsi.2016.03.002_bib126
  doi: 10.1109/40.755464
– ident: 10.1016/j.vlsi.2016.03.002_bib47
  doi: 10.1145/1403375.1403631
– ident: 10.1016/j.vlsi.2016.03.002_bib53
  doi: 10.1145/2459976.2459985
– ident: 10.1016/j.vlsi.2016.03.002_bib76
– volume: 2
  start-page: 46
  year: 2015
  ident: 10.1016/j.vlsi.2016.03.002_bib131
  article-title: The Trojan-proof chip
  publication-title: IEEE Spectr.
  doi: 10.1109/MSPEC.2015.7024511
– ident: 10.1016/j.vlsi.2016.03.002_bib52
  doi: 10.1145/1687399.1687424
– ident: 10.1016/j.vlsi.2016.03.002_bib123
  doi: 10.1145/871656.859631
– year: 2008
  ident: 10.1016/j.vlsi.2016.03.002_bib112
– ident: 10.1016/j.vlsi.2016.03.002_bib121
– ident: 10.1016/j.vlsi.2016.03.002_bib136
  doi: 10.1109/ICASSP.2011.5947691
– ident: 10.1016/j.vlsi.2016.03.002_bib2
– ident: 10.1016/j.vlsi.2016.03.002_bib115
– ident: 10.1016/j.vlsi.2016.03.002_bib45
  doi: 10.1145/2508859.2516656
– ident: 10.1016/j.vlsi.2016.03.002_bib65
  doi: 10.1145/288548.288606
– ident: 10.1016/j.vlsi.2016.03.002_bib72
  doi: 10.1145/337292.337586
– ident: 10.1016/j.vlsi.2016.03.002_bib129
  doi: 10.1109/SP.2010.19
– start-page: 66
  year: 2010
  ident: 10.1016/j.vlsi.2016.03.002_bib46
  article-title: Preventing IC piracy using reconfigurable logic barriers
  publication-title: IEEE Des. Test. Comput.
  doi: 10.1109/MDT.2010.24
– ident: 10.1016/j.vlsi.2016.03.002_bib122
  doi: 10.1109/DSN.2005.70
– ident: 10.1016/j.vlsi.2016.03.002_bib49
  doi: 10.1145/1391469.1391684
– ident: 10.1016/j.vlsi.2016.03.002_bib94
  doi: 10.1109/SP.2010.18
– ident: 10.1016/j.vlsi.2016.03.002_bib104
  doi: 10.1109/HST.2008.4559049
– ident: 10.1016/j.vlsi.2016.03.002_bib50
– ident: 10.1016/j.vlsi.2016.03.002_bib62
  doi: 10.1145/1057661.1057743
– volume: 55
  start-page: 1382
  issue: 11
  year: 2008
  ident: 10.1016/j.vlsi.2016.03.002_bib87
  article-title: Formal proof – the four-color theorem
  publication-title: Not. Am. Math. Soc.
– year: 1996
  ident: 10.1016/j.vlsi.2016.03.002_bib1
– ident: 10.1016/j.vlsi.2016.03.002_bib39
  doi: 10.7873/DATE.2013.261
– ident: 10.1016/j.vlsi.2016.03.002_bib120
– ident: 10.1016/j.vlsi.2016.03.002_bib108
  doi: 10.1145/1629911.1630091
– ident: 10.1016/j.vlsi.2016.03.002_bib36
  doi: 10.1145/2554797.2554820
– ident: 10.1016/j.vlsi.2016.03.002_bib5
– ident: 10.1016/j.vlsi.2016.03.002_bib99
  doi: 10.1109/HLDVT.2009.5340158
– ident: 10.1016/j.vlsi.2016.03.002_bib18
  doi: 10.1007/3-540-36400-5_2
– volume: 28
  start-page: 1493
  issue: 10
  year: 2009
  ident: 10.1016/j.vlsi.2016.03.002_bib48
  article-title: HARPOON
  publication-title: IEEE Trans. Comput.-Aided Des.
  doi: 10.1109/TCAD.2009.2028166
– ident: 10.1016/j.vlsi.2016.03.002_bib16
  doi: 10.1007/3-540-48405-1_25
– volume: 37
  start-page: 160
  issue: 2
  year: 1988
  ident: 10.1016/j.vlsi.2016.03.002_bib119
  article-title: Concurrent error detection using watchdog processors – a survey
  publication-title: IEEE Trans. Comput.
  doi: 10.1109/12.2145
– ident: 10.1016/j.vlsi.2016.03.002_bib25
– ident: 10.1016/j.vlsi.2016.03.002_bib15
  doi: 10.1007/3-540-68697-5_9
– ident: 10.1016/j.vlsi.2016.03.002_bib22
– ident: 10.1016/j.vlsi.2016.03.002_bib93
– ident: 10.1016/j.vlsi.2016.03.002_bib33
  doi: 10.1007/BFb0052255
– ident: 10.1016/j.vlsi.2016.03.002_bib107
  doi: 10.1007/978-3-642-15031-9_12
– ident: 10.1016/j.vlsi.2016.03.002_bib106
  doi: 10.1109/SP.2007.36
– ident: 10.1016/j.vlsi.2016.03.002_bib30
  doi: 10.1007/978-3-540-39881-3_21
– ident: 10.1016/j.vlsi.2016.03.002_bib60
  doi: 10.1145/764808.764847
– start-page: 103
  year: 2012
  ident: 10.1016/j.vlsi.2016.03.002_bib75
  article-title: Hardware metering
– ident: 10.1016/j.vlsi.2016.03.002_bib118
  doi: 10.21236/ADA115912
– ident: 10.1016/j.vlsi.2016.03.002_bib11
– ident: 10.1016/j.vlsi.2016.03.002_bib84
– volume: 23
  start-page: 208
  issue: 2
  year: 2004
  ident: 10.1016/j.vlsi.2016.03.002_bib70
  article-title: Effective iterative techniques for fingerprinting design ip
  publication-title: IEEE Trans. Comput.-Aided Des.
  doi: 10.1109/TCAD.2003.822126
– volume: 87
  start-page: 1062
  issue: 7
  year: 1999
  ident: 10.1016/j.vlsi.2016.03.002_bib103
  article-title: Information hiding: a survey
  publication-title: Proc. IEEE
  doi: 10.1109/5.771065
– year: 2014
  ident: 10.1016/j.vlsi.2016.03.002_bib109
– ident: 10.1016/j.vlsi.2016.03.002_bib133
  doi: 10.1007/978-3-540-45146-4_27
– ident: 10.1016/j.vlsi.2016.03.002_bib28
  doi: 10.1109/TR.2015.2430471
– volume: 35
  start-page: 434
  issue: 3
  year: 2000
  ident: 10.1016/j.vlsi.2016.03.002_bib71
  article-title: Watermarking-based copyright protection of sequential functions
  publication-title: IEEE J. Solid State Circuits
  doi: 10.1109/4.826826
– ident: 10.1016/j.vlsi.2016.03.002_bib42
– ident: 10.1016/j.vlsi.2016.03.002_bib117
– ident: 10.1016/j.vlsi.2016.03.002_bib134
  doi: 10.1007/11761679_19
– ident: 10.1016/j.vlsi.2016.03.002_bib105
  doi: 10.1109/HST.2008.4559037
– ident: 10.1016/j.vlsi.2016.03.002_bib55
  doi: 10.1007/978-3-540-30114-1_16
– ident: 10.1016/j.vlsi.2016.03.002_bib97
  doi: 10.1007/978-3-642-04138-9_28
– ident: 10.1016/j.vlsi.2016.03.002_bib111
  doi: 10.1109/HST.2013.6581564
– ident: 10.1016/j.vlsi.2016.03.002_bib10
  doi: 10.1145/1080695.1069974
– ident: 10.1016/j.vlsi.2016.03.002_bib124
  doi: 10.1109/FTCS.1999.781037
– ident: 10.1016/j.vlsi.2016.03.002_bib31
– year: 2008
  ident: 10.1016/j.vlsi.2016.03.002_bib113
SSID ssj0001475
Score 2.2312703
Snippet Hardware is the foundation of security and trust for any security system. However, recent study has revealed that hardware is subject to a number of security...
SourceID crossref
elsevier
SourceType Enrichment Source
Index Database
Publisher
StartPage 438
SubjectTerms Hardware Trojan
IP theft
Security
VLSI
Title VLSI supply chain security risks and mitigation techniques: A survey
URI https://dx.doi.org/10.1016/j.vlsi.2016.03.002
Volume 55
hasFullText 1
inHoldings 1
isFullTextHit
isPrint
link http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnZ3NT8IwGMbfIFz0YPyM-EF68GYmW7t2mzeCElBCjIjhtmxrGzE4CEMSLv7ttqwjmBgOHrfsTZZnzdunybPfC3CtTABXriGxuLRdy40DZsWae4s9JplMNPNmFZDtsfbAfRzSYQmaxb8wOlZpen_e01fd2typGzXr09Go3tcB-mBFxCIrJ7MDFUwCRstQaXSe2r11Q3ZcjxaIb11g_p3JY16LcTbSCS-Ws07x3_vTxp7TOoB9YxZRI3-fQyiJ9Aj2NhCCx3D_1u13UKZncy5R8q7O-SgzI-mQjo1nKEo5-hzlKI1JitbQ1uwONVThbCGWJzBoPbw225aZjGAlhLG5RXDCcCw51_x1KoXnRz6ORGwHPsXciVwqKOE-Drgdu0RKGkihnJD07MCmMsbkFMrpJBVngKTtycCXUvkUfVS0fS8iVLicCuywSERVcAo9wsRgw_X0inFY5MM-Qq1hqDUMbRIqDatws66Z5tCMrU_TQubw16cPVVffUnf-z7oL2NVXeVDsEsrz2Ze4Us5iHtdg5_bbqan103zpPtfMOvoBg17Nxg
linkProvider Elsevier
linkToHtml http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnZ1LT8JAFIUniAt1YXxGfM7Cnam082rrjqAEFNkAht2k7czEGiyEIgkbf7szfSAmxoXbtjdpTps7Z5Iz3wXgWpsAoV1DZAllE4uEPrNCw71FLlNMRYZ5kwVke6w9JI8jOqqAZnkWxsQqi96f9_SsWxdX6oWa9Wkc1_smQO9nRCycOZkNsEkodk2u7_bzO-fhEJeWgG_zeHFyJg95LcZpbPJdLCedot9Xp7UVp7UHdgurCBv52-yDikwOwM4aQPAQ3L90-x2YmsmcSxi96l0-TIuBdNCExlMYJAK-xzlIY5LAFbI1vYMNXThbyOURGLYeBs22VcxFsCLM2NzCKGIoVEIY-jpV0vUCDwUytH2PIuEEhEqKhYd8YYcEK0V9JbUPUq7t21SFCB-DajJJ5AmAynaV7ymlXYrZKNqeG2AqiaASOSyQQQ04pR48KqDhZnbFmJfpsDduNORGQ25jrjWsgZtVzTRHZvz5NC1l5j8-PNc9_Y-603_WXYGt9uC5y7ud3tMZ2DZ38sjYOajOZx_yQnuMeXiZ_UNfz7_M_A
openUrl ctx_ver=Z39.88-2004&ctx_enc=info%3Aofi%2Fenc%3AUTF-8&rfr_id=info%3Asid%2Fsummon.serialssolutions.com&rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&rft.genre=article&rft.atitle=VLSI+supply+chain+security+risks+and+mitigation+techniques%3A+A+survey&rft.jtitle=Integration+%28Amsterdam%29&rft.au=Liu%2C+Bao&rft.au=Qu%2C+Gang&rft.date=2016-09-01&rft.issn=0167-9260&rft.volume=55&rft.spage=438&rft.epage=448&rft_id=info:doi/10.1016%2Fj.vlsi.2016.03.002&rft.externalDBID=n%2Fa&rft.externalDocID=10_1016_j_vlsi_2016_03_002
thumbnail_l http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/lc.gif&issn=0167-9260&client=summon
thumbnail_m http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/mc.gif&issn=0167-9260&client=summon
thumbnail_s http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/sc.gif&issn=0167-9260&client=summon