The Study of Reactive Ion Etching of Heavily Doped Polysilicon Based on HBr/O2/He Plasmas for Thermopile Devices

Heavily doped polysilicon layers have been widely used in the fabrication of microelectromechanical systems (MEMS). However, the investigation of high selectivity, anisotropy, and excellent uniformity of heavily doped polysilicon etching is limited. In this work, reactive ion etching of undoped and...

Full description

Saved in:
Bibliographic Details
Published inMaterials Vol. 13; no. 19; p. 4278
Main Authors Zhou, Na, Li, Junjie, Mao, Haiyang, Liu, Hao, Liu, Jinbiao, Gao, Jianfeng, Xiang, Jinjuan, Hu, Yanpeng, Shi, Meng, Ju, Jiaxin, Lei, Yuxiao, Yang, Tao, Li, Junfeng, Wang, Wenwu
Format Journal Article
LanguageEnglish
Published Basel MDPI AG 25.09.2020
MDPI
Subjects
Online AccessGet full text

Cover

Loading…
More Information
Summary:Heavily doped polysilicon layers have been widely used in the fabrication of microelectromechanical systems (MEMS). However, the investigation of high selectivity, anisotropy, and excellent uniformity of heavily doped polysilicon etching is limited. In this work, reactive ion etching of undoped and heavily doped polysilicon-based hydrogen bromide (HBr) plasmas have been compared. The mechanism of etching of heavily doped polysilicon is studied in detail. The final results demonstrate that the anisotropy profile of heavily doped polysilicon can be obtained based on a HBr plasma process. An excellent uniformity of resistance of the thermocouples reached ± 2.11%. This technology provides an effective way for thermopile and other MEMS devices fabrication.
Bibliography:ObjectType-Article-1
SourceType-Scholarly Journals-1
ObjectType-Feature-2
content type line 23
ISSN:1996-1944
1996-1944
DOI:10.3390/ma13194278