Fetch directed instruction prefetching
Reinman, G., Calder, B., Austin, T.
Published in MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture (1999)
Published in MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture (1999)
Get full text
Conference Proceeding
Journal Article
Predictive techniques for aggressive load speculation
Reinman, G., Calder, B.
Published in Proceedings of the annual International Symposium on Microarchitecture (1998)
Published in Proceedings of the annual International Symposium on Microarchitecture (1998)
Get full text
Conference Proceeding
Journal Article
Selective value prediction
Calder, B., Reinman, G., Tullsen, D.M.
Published in Proceedings of the 26th International Symposium on Computer Architecture (Cat. No.99CB36367) (1999)
Published in Proceedings of the 26th International Symposium on Computer Architecture (Cat. No.99CB36367) (1999)
Get full text
Conference Proceeding
Reducing the energy of speculative instruction schedulers
Yongxiang Liu, Memik, G., Reinman, G.
Published in 2005 International Conference on Computer Design (2005)
Published in 2005 International Conference on Computer Design (2005)
Get full text
Conference Proceeding
Reducing the latency and area cost of core swapping through shared helper engines
Shayesteh, A., Kursun, E., Sherwood, T., Sair, S., Reinman, G.
Published in 2005 International Conference on Computer Design (2005)
Published in 2005 International Conference on Computer Design (2005)
Get full text
Conference Proceeding
CMP network-on-chip overlaid with multi-band RF-interconnect
Chang, M.F., Cong, J., Kaplan, A., Naik, M., Reinman, G., Socher, E., Tam, S.-W.
Published in 2008 IEEE 14th International Symposium on High Performance Computer Architecture (01.02.2008)
Published in 2008 IEEE 14th International Symposium on High Performance Computer Architecture (01.02.2008)
Get full text
Conference Proceeding
Architecture support for accelerator-rich CMPs
Cong, Jason, Ghodrat, Mohammad Ali, Gill, Michael, Grigorian, Beayna, Reinman, Glenn
Published in DAC Design Automation Conference 2012 (03.06.2012)
Published in DAC Design Automation Conference 2012 (03.06.2012)
Get full text
Conference Proceeding
An energy-efficient adaptive hybrid cache
Cong, J., Gururaj, K., Hui Huang, Chunyue Liu, Reinman, G., Yi Zou
Published in IEEE/ACM International Symposium on Low Power Electronics and Design (01.08.2011)
Published in IEEE/ACM International Symposium on Low Power Electronics and Design (01.08.2011)
Get full text
Conference Proceeding
Accelerating Sequential Applications on CMPs Using Core Spilling
Cong, Jason, Han, Guoling, Jagannathan, Ashok, Reinmany, Glenn, Rutkowski, Krzysztof
Published in IEEE transactions on parallel and distributed systems (01.08.2007)
Published in IEEE transactions on parallel and distributed systems (01.08.2007)
Get full text
Journal Article
Analysis of Noncoherent ASK Modulation-Based RF-Interconnect for Memory Interface
Yanghyo Kim, Sai-Wang Tam, Gyung-Su Byun, Hao Wu, Lan Nan, Reinman, G., Cong, J., Chang, M. F.
Published in IEEE journal on emerging and selected topics in circuits and systems (01.06.2012)
Published in IEEE journal on emerging and selected topics in circuits and systems (01.06.2012)
Get full text
Journal Article
Power reduction of CMP communication networks via RF-interconnects
Chang, M.-C.F., Cong, J., Kaplan, A., Chunyue Liu, Naik, M., Premkumar, J., Reinman, G., Socher, E., Sai-Wang Tam
Published in 2008 41st IEEE/ACM International Symposium on Microarchitecture (01.11.2008)
Published in 2008 41st IEEE/ACM International Symposium on Microarchitecture (01.11.2008)
Get full text
Conference Proceeding
The DIMM tree architecture: A high bandwidth and scalable memory system
Therdsteerasukdi, K., Gyung-Su Byun, Ir, J., Reinman, G., Cong, J., Chang, M. F.
Published in 2011 IEEE 29th International Conference on Computer Design (ICCD) (01.10.2011)
Published in 2011 IEEE 29th International Conference on Computer Design (ICCD) (01.10.2011)
Get full text
Conference Proceeding
A 60GHz on-chip RF-Interconnect with λ/4 coupler for 5Gbps bi-directional communication and multi-drop arbitration
Hao Wu, Lan Nan, Sai-Wang Tam, Hsieh-Hung Hsieh, Chewpu Jou, Reinman, G., Cong, J., Chang, M.-C F.
Published in Proceedings of the IEEE 2012 Custom Integrated Circuits Conference (01.09.2012)
Published in Proceedings of the IEEE 2012 Custom Integrated Circuits Conference (01.09.2012)
Get full text
Conference Proceeding
Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design
Yu-Ting Chen, Cong, J., Hui Huang, Bin Liu, Chunyue Liu, Potkonjak, M., Reinman, G.
Published in 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE) (01.03.2012)
Published in 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE) (01.03.2012)
Get full text
Conference Proceeding
An 8Gb/s/pin 4pJ/b/pin Single-T-Line dual (base+RF) band simultaneous bidirectional mobile memory I/O interface with inter-channel interference suppression
Yanghyo Kim, Gyung-Su Byun, Tang, A., Chewn-Pu Jou, Hsieh-Hung Hsieh, Reinman, G., Cong, J., Chang, M. F.
Published in 2012 IEEE International Solid-State Circuits Conference (01.02.2012)
Published in 2012 IEEE International Solid-State Circuits Conference (01.02.2012)
Get full text
Conference Proceeding