Effects of chemical mechanical polishing on a porous SiCOH dielectric
Gates, S., Papa Rao, S., Anandan, V., Krishnan, M., Cohen, S., Ostrovski, Y., Klymko, N., Chace, M., Canaperi, D.
Published in Microelectronic engineering (01.03.2012)
Published in Microelectronic engineering (01.03.2012)
Get full text
Journal Article
Bottom oxidation through STI (BOTS) - A novel approach to fabricate dielectric isolated FinFETs on bulk substrates
Cheng, K., Seo, S., Faltermeier, J., Lu, D., Standaert, T., Ok, I., Khakifirooz, A., Vega, R., Levin, T., Li, J., Demarest, J., Surisetty, C., Song, D., Utomo, H., Chao, R., He, H., Madan, A., DeHaven, P., Klymko, N., Zhu, Z., Naczas, S., Yin, Y., Kuss, J., Jacob, A., Bae, D., Seo, K., Kleemeier, W., Sampson, R., Hook, T., Haran, B., Gifford, G., Gupta, D., Shang, H., Bu, H., Na, M., Oldiges, P., Wu, T., Doris, B., Rim, K., Nowak, E., Divakaruni, R., Khare, M.
Published in 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers (01.06.2014)
Published in 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers (01.06.2014)
Get full text
Conference Proceeding
Impact of ion implantation damage and thermal budget on mobility enhancement in strained-Si N-channel MOSFETs
Guangrui Xia, Nayfeh, H.M., Lee, M.L., Fitzgerald, E.A., Antoniadis, D.A., Anjum, D.H., Jian Li, Hull, R., Klymko, N., Hoyt, J.L.
Published in IEEE transactions on electron devices (01.12.2004)
Published in IEEE transactions on electron devices (01.12.2004)
Get full text
Journal Article
Fabrication of ultra-thin strained silicon on insulator
DRAKE, T. S, CHLEIRIGH, C. Ni, HOYT, J. L, LEE, M. L, PITERA, A. J, FITZGERALD, E. A, ANTONIADIS, D. A, ANJUM, D. H, LI, J, HULL, R, KLYMKO, N
Published in Journal of electronic materials (01.09.2003)
Published in Journal of electronic materials (01.09.2003)
Get full text
Journal Article
30 - GABAergic neurons in the periaqueductal gray are involved in the maintenance of continence
de Rijk, M, Cieszkowski, R, Klymko, N, Sartori, A, Verstegen, A
Published in Continence (Amsterdam) (01.10.2024)
Published in Continence (Amsterdam) (01.10.2024)
Get full text
Journal Article
Investigation of CMOS devices with embedded SiGe source/drain on hybrid orientation substrates
Qiqing Ouyang, Min Yang, Holt, J., Panda, S., Huajie Chen, Utomo, H., Fischetti, M., Rovedo, N., Jinghong Li, Klymko, N., Wildman, H., Kanarsky, T., Costrini, G., Fried, D.M., Bryant, A., Ott, J.A., Meikei Ieong, Chun-Yung Sung
Published in Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005 (2005)
Published in Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005 (2005)
Get full text
Conference Proceeding
Comprehensive reliability evaluation of a 90 nm CMOS technology with Cu/PECVD low-k BEOL
Edelstein, D., Rathore, H., Davis, C., Clevenger, L., Cowley, A., Nogami, T., Agarwala, B., Arai, S., Carbone, A., Chanda, K., Chen, F., Cohen, S., Cote, W., Cullinan, M., Dalton, T., Das, S., Davis, P., Demarest, J., Dunn, D., Dziobkowski, C., Filippi, R., Fitzsimmons, J., Flaitz, P., Gates, S., Gill, J., Grill, A., Hawken, D., Ida, K., Klaus, D., Klymko, N., Lane, M., Lane, S., Lee, J., Landers, W., Li, W.-K., Lin, Y.-H., Liniger, E., Liu, X.-H., Madan, A., Malhotra, S., Martin, J., Molis, S., Muzzy, C., Nguyen, D., Nguyen, S., Ono, M., Parks, C., Questad, D., Restaino, D., Sakamoto, A., Shaw, T., Shimooka, Y., Simon, A., Simonyi, E., Swift, A., Van Kleeck, T., Vogt, S., Wang, Y.-Y., Wille, W., Wright, J., Yang, C.-C., Yoon, M., Ivers, T.
Published in 2004 IEEE International Reliability Physics Symposium. Proceedings (2004)
Published in 2004 IEEE International Reliability Physics Symposium. Proceedings (2004)
Get full text
Conference Proceeding
A 300-mm wafer-level three-dimensional integration scheme using tungsten through-silicon via and hybrid Cu-adhesive bonding
Liu, F., Yu, R.R., Young, A.M., Doyle, J.P., Wang, X., Shi, L., Chen, K.-N., Li, X., Dipaola, D.A., Brown, D., Ryan, C.T., Hagan, J.A., Wong, K.H., Lu, M., Gu, X., Klymko, N.R., Perfecto, E.D., Merryman, A.G., Kelly, K.A., Purushothaman, S., Koester, S.J., Wisnieff, R., Haensch, W.
Published in 2008 IEEE International Electron Devices Meeting (01.12.2008)
Published in 2008 IEEE International Electron Devices Meeting (01.12.2008)
Get full text
Conference Proceeding
Aggressively Scaled Strained-Silicon-on-Insulator Undoped-Body High- \kappa/Metal-Gate nFinFETs for High-Performance Logic Applications
Maitra, K, Khakifirooz, A, Kulkarni, P, Basker, V S, Faltermeier, J, Jagannathan, H, Adhikari, H, Chun-Chen Yeh, Klymko, N R, Saenger, K, Standaert, T, Miller, R J, Doris, B, Paruchuri, V K, McHerron, D, O'Neil, J, Leobundung, E, Huiming Bu
Published in IEEE electron device letters (01.06.2011)
Published in IEEE electron device letters (01.06.2011)
Get full text
Journal Article
Atomic Layer Deposition of Sidewall Spacers: Process, Equipment and Integration Challenges in State-of-the-Art Logic Technologies
Belyansky, Michael P., Conti, Richard, Khan, Shahrukh, Zhou, Xin, Klymko, Nancy R, Yao, Yiping, Madan, Anita, Tai, Leo, Flaitz, Philip, Ando, Takashi
Published in ECS transactions (26.03.2014)
Published in ECS transactions (26.03.2014)
Get full text
Journal Article
Ultrathin (8-14 nm) Conformal SiN for sub-20 nm Copper/Low-k Interconnects
Nguyen, Son van, Priyadarshini, Deepika, Shobha, Hosadurga k, Haigh, Thomas J, Hu, Chao-kun, Cohen, Stephan A, Liniger, Eric, Shaw, Thomas M, Adams, Edward D, Burnham, Jay, Madan, Anita, Klymko, Nancy R, Parks, Christopher, Yang, Daewon, Molis, Steven E, Lin, Y, Bonilla, Griselda, Grill, Alfred, Edelstein, Daniel, Canaperi, Donald F, Xia, Li-Qun, Reiter, Steven, Balseanu, Mihaela, Shek, Mei-Yee
Published in ECS transactions (26.03.2014)
Published in ECS transactions (26.03.2014)
Get full text
Journal Article
Optimization of SiCOH dielectrics for integration in a 90 nm CMOS technology
GRILL, A, EDELSTEIN, D, COHEN, S, SIMONYI, E, KLYMKO, N, LANE, S, IDA, K, VOGT, S, VAN KLEECK, T, DAVIS, C, ONO, M, NOGAMI, T, RESTAINO, D, IVERS, T, LANE, M, GATES, S, LINIGER, E, SHAW, T, LIU, X.-H, KLAUS, D, PATEL, V
Year of Publication 2004
Year of Publication 2004
Get full text
Conference Proceeding
Reliability, yield, and performance of a 90 nm SOI/Cu/SiCOH technology
Edelstein, D., Davis, C., Clevenger, L., Yoon, M., Cowley, A., Nogami, T., Rathore, H., Agarwala, B., Arai, S., Carbone, A., Chanda, K., Cohen, S., Cote, W., Cullinan, M., Dalton, T., Das, S., Davis, P., Demarest, J., Dunn, D., Dziobkowski, C., Filippi, R., Fitzsimmons, J., Flaitz, P., Gates, S., Gill, J., Grill, A., Hawken, D., Ida, K., Klaus, D., Klymko, N., Lane, M., Lane, S., Lee, J., Landers, W., Li, W.-K., Lin, Y.-H., Liniger, E., Liu, X.-H., Madan, A., Malhotra, S., Martin, J., Molis, S., Muzzy, C., Nguyen, D., Nguyen, S., Ono, M., Parks, C., Questad, D., Restaino, D., Sakamoto, A., Shaw, T., Shimooka, Y., Simon, A., Simonyi, E., Tempest, S., Van Kleeck, T., Vogt, S., Wang, Y.-Y., Wille, W., Wright, J., Yang, C.-C., Ivers, T.
Published in Proceedings of the IEEE 2004 International Interconnect Technology Conference (IEEE Cat. No.04TH8729) (2004)
Published in Proceedings of the IEEE 2004 International Interconnect Technology Conference (IEEE Cat. No.04TH8729) (2004)
Get full text
Conference Proceeding
Impact of hydrogen in capping layers on BTI degradation and recovery in high-κ replacement metal gate transistors
Jin, M., Tian, C. E., La Rosa, G., Uppal, S., Mcmahon, W., Kothari, H., Liu, Y., Cartier, E., Lai, W. L., Dasgupta, A., Polvino, S., Belyansky, M., Chen, A., Zhou, X., Madan, A., Yao, Y., Klymko, N., Narayanan, V.
Published in 2013 IEEE International Reliability Physics Symposium (IRPS) (01.04.2013)
Published in 2013 IEEE International Reliability Physics Symposium (IRPS) (01.04.2013)
Get full text
Conference Proceeding
Ultrathin (5-35 nm) SiCNH Dielectrics for Damascene Cu Cap Application: Thickness Scaling and Oxidation Barrier Performance Limitation
Nguyen, Son V., Haigh, Thomas, Shaw, Thomas, Molis, Steven, Dziobkowski, Chet, Zahakos, C., Cohen, Steve, Shobha, Hosadurga, Liniger, E., Hu, C. K., Bonilla, Griselda, Klymko, Nancy, Grill, Alfred
Published in ECS transactions (01.01.2010)
Published in ECS transactions (01.01.2010)
Get full text
Journal Article
Reliability of a 300-mm-compatible 3DI technology based on hybrid Cu-adhesive wafer bonding
Yu, R.R., Liu, F., Polastre, R.J., Chen, K.-N., Liu, X.H., Shi, L., Perfecto, E.D., Klymko, N.R., Chace, M.S., Shaw, T.M., Dimilia, D., Kinser, E.R., Young, A.M., Purushothaman, S., Koester, S.J., Haensch, W.
Published in 2009 Symposium on VLSI Technology (01.06.2009)
Get full text
Published in 2009 Symposium on VLSI Technology (01.06.2009)
Conference Proceeding
Enhanced Cracking Resistance of Plasma Deposited Low-k SiCOH Films with Nano Imbedded Layers Insertion
Nguyen, Son V., Liniger, E., Ida, K., Herbst, B., Malone, K., Klymko, N., Cohen, S., Simonyi, E., Dziobkowski, C., Lane, S., Grill, A., Restaino, D., Gates, S., Edelstein, D., Nogami, T., Ivers, T.
Published in ECS transactions (28.09.2007)
Published in ECS transactions (28.09.2007)
Get full text
Journal Article
Strain characterization: techniques and applications
Belyansky, Michael, Domenicucci, A, Klymko, N, Li, J, Madan, A
Published in Solid state technology (01.02.2009)
Get full text
Published in Solid state technology (01.02.2009)
Magazine Article
High performance extremely thin SOI (ETSOI) hybrid CMOS with Si channel NFET and strained SiGe channel PFET
Cheng, K., Khakifirooz, A., Loubet, N., Luning, S., Nagumo, T., Vinet, M., Liu, Q., Reznicek, A., Adam, T., Naczas, S., Hashemi, P., Kuss, J., Li, J., He, H., Edge, L., Gimbert, J., Khare, P., Zhu, Y., Zhu, Z., Madan, A., Klymko, N., Holmes, S., Levin, T. M., Hubbard, A., Johnson, R., Terrizzi, M., Teehan, S., Upham, A., Pfeiffer, G., Wu, T., Inada, A., Allibert, F., Nguyen, B., Grenouillet, L., Le Tiec, Y., Wacquez, R., Kleemeier, W., Sampson, R., Dennard, R. H., Ning, T. H., Khare, M., Shahidi, G., Doris, B.
Published in 2012 International Electron Devices Meeting (01.12.2012)
Published in 2012 International Electron Devices Meeting (01.12.2012)
Get full text
Conference Proceeding