Statistical Path Selection for At-Speed Test
Zolotov, Vladimir, Jinjun Xiong, Fatemi, Hanif, Visweswariah, Chandu
Published in IEEE transactions on computer-aided design of integrated circuits and systems (01.05.2010)
Published in IEEE transactions on computer-aided design of integrated circuits and systems (01.05.2010)
Get full text
Journal Article
A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect
Amelifard, B., Hatami, S., Fatemi, H., Pedram, M.
Published in 2008 Design, Automation and Test in Europe (01.03.2008)
Published in 2008 Design, Automation and Test in Europe (01.03.2008)
Get full text
Conference Proceeding
A Method of Via Variation Induced Delay Computation
Kim, Moonsu, Heo, Yun, Jung, Seungjae, Le, Kelvin, Conos, Nathaniel, Fatemi, Hanif, Lee, Jongpil, Shin, Youngmin
Published in 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE) (01.03.2020)
Published in 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE) (01.03.2020)
Get full text
Conference Proceeding
Statistical path selection for at-speed test
Zolotov, V., Jinjun Xiong, Fatemi, H., Visweswariah, C.
Published in 2008 IEEE/ACM International Conference on Computer-Aided Design (01.11.2008)
Published in 2008 IEEE/ACM International Conference on Computer-Aided Design (01.11.2008)
Get full text
Conference Proceeding
Accurate Timing and Noise Analysis of Combinational and Sequential Logic Cells Using Current Source Modeling : Performance-Noise Analysis
NAZARIAN, Shahin, FATEMI, Hanif, PEDRAM, Massoud
Published in IEEE transactions on very large scale integration (VLSI) systems (2011)
Get full text
Published in IEEE transactions on very large scale integration (VLSI) systems (2011)
Journal Article
HEBS: Histogram Equalization for Backlight Scaling
Iranli, Ali, Fatemi, Hanif, Pedram, Massoud
Published in Design, Automation and Test in Europe (07.03.2005)
Published in Design, Automation and Test in Europe (07.03.2005)
Get full text
Conference Proceeding
Statistical logic cell delay analysis using a current-based model
Fatemi, Hanif, Nazarian, Shahin, Pedram, Massoud
Published in 2006 43rd ACM/IEEE Design Automation Conference (24.07.2006)
Published in 2006 43rd ACM/IEEE Design Automation Conference (24.07.2006)
Get full text
Conference Proceeding
Parameterized Non-Gaussian Variational Gate Timing Analysis
Abbaspour, S.., Fatemi, H.., Pedram, M..
Published in IEEE transactions on computer-aided design of integrated circuits and systems (01.08.2007)
Published in IEEE transactions on computer-aided design of integrated circuits and systems (01.08.2007)
Get full text
Journal Article
Power optimal MTCMOS repeater insertion for global buses
Fatemi, H, Amelifar, B, Pedram, M
Published in Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07) (01.08.2007)
Published in Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07) (01.08.2007)
Get full text
Conference Proceeding
Non-Gaussian Statistical Interconnect Timing Analysis
Abbaspour, S., Fatemi, H., Pedram, M.
Published in Proceedings of the Design Automation & Test in Europe Conference (2006)
Published in Proceedings of the Design Automation & Test in Europe Conference (2006)
Get full text
Conference Proceeding
VGTA: variation-aware gate timing analysis
Abbaspour, S., Fatemi, H., Pedram, M.
Published in 2005 International Conference on Computer Design (2005)
Published in 2005 International Conference on Computer Design (2005)
Get full text
Conference Proceeding
Crosstalk timing windows overlap in statistical static timing analysis
Fatemi, H., Tehrani, P.
Published in International Symposium on Quality Electronic Design (ISQED) (01.03.2013)
Published in International Symposium on Quality Electronic Design (ISQED) (01.03.2013)
Get full text
Conference Proceeding
Parameterized block-based non-gaussian statistical gate timing analysis
Abbaspour, Soroush, Fatemi, Hanif, Pedram, Massoud
Published in Proceedings of the 2006 Asia and South Pacific Design Automation Conference (24.01.2006)
Published in Proceedings of the 2006 Asia and South Pacific Design Automation Conference (24.01.2006)
Get full text
Conference Proceeding
A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms
Fatemi, H., Nazarian, S., Pedram, M.
Published in 2007 Asia and South Pacific Design Automation Conference (01.01.2007)
Published in 2007 Asia and South Pacific Design Automation Conference (01.01.2007)
Get full text
Conference Proceeding
Method and apparatus for statistical path selection for at-speed testing
VISWESWARIAH CHANDRAMOULI, ZOLOTOV VLADIMIR, XIONG JINJUN, FATEMI HANIF
Year of Publication 08.02.2011
Get full text
Year of Publication 08.02.2011
Patent
Method and apparatus for statistical path selection for at-speed testing
Fatemi, Hanif, Visweswariah, Chandramouli, Xiong, Jinjun, Zolotov, Vladimir
Year of Publication 08.02.2011
Get full text
Year of Publication 08.02.2011
Patent